TSTP Solution File: SYN835-1 by FDP---0.9.16

View Problem - Process Solution

%------------------------------------------------------------------------------
% File     : FDP---0.9.16
% Problem  : SYN835-1 : TPTP v5.0.0. Released v2.5.0.
% Transfm  : add_equality
% Format   : protein
% Command  : fdp-casc %s %d

% Computer : art05.cs.miami.edu
% Model    : i686 i686
% CPU      : Intel(R) Pentium(R) 4 CPU 2.80GHz @ 2793MHz
% Memory   : 2018MB
% OS       : Linux 2.6.26.8-57.fc8
% CPULimit : 300s
% DateTime : Tue Jan 11 07:37:11 EST 2011

% Result   : Satisfiable 147.71s
% Output   : Assurance 147.71s
% Verified : 
% SZS Type : None (Parsing solution fails)
% Syntax   : Number of formulae    : 0

% Comments : 
%------------------------------------------------------------------------------
%----NO SOLUTION OUTPUT BY SYSTEM
%------------------------------------------------------------------------------
%----ORIGINAL SYSTEM OUTPUT
% FDPLL - A First-Order Davis-Putnam Theorem Prover
% Version 0.9.16 (26/06/2002)
% Proving /tmp/SYN835-1+noeq ...
% Done.
% Input File...............: /tmp/SYN835-1+noeq.tme
% System...................: Linux art05.cs.miami.edu 2.6.26.8-57.fc8 #1 SMP Thu Dec 18 19:19:45 EST 2008 i686 i686 i386 GNU/Linux
% Automatic mode...........: on
% Time limit...............: 300 seconds
% Current restart interval.: off
% Restart with =-axioms....: off
% Initial interpretation...: [+(_144748)]
% Clause set type..........: Non-Horn, without equality
% Equality transformation..: off
% Non-constant functions...: no
% Term depth settings......: 3/2 (Init/Increment)
% unit_extend..............: on
% splitting type...........: exact
% Final tree statistics:
% Tree for clause set......: as initially given
% # Restarts...............: 0
% Term depth limit.........: 3
% # Splits.................: 150
% # Commits................: 2
% # Unit extension steps...: 190
% # Unit back subsumptions.: 0
% # Branches closed........: 12
% # Level cuts.............: 64
% Time.....................: 147.17 seconds.
% Result...................: SATISFIABLE with model:
%   +(ssPv15_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_144834, V_144835, W_144836, X_144837, Y_144838, skc28, skc27, skc25, X3_144839, X4_144840, X5_144841, X6_144842, skc23, X8_144843, X9_144844, X10_144845, X11_144846))
%   +(ssPv15_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_144867, V_144868, W_144869, X_144870, Y_144871, skc28, X1_144872, X2_144873, X3_144874, X4_144875, X5_144876, X6_144877, X7_144878, skc20, skc19))
%   +(ssPv5_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_144904, V_144905, W_144906, X_144907, Y_144908, Z_144909, X1_144910, X2_144911, X3_144912, X4_144913, X5_144914, X6_144915, X7_144916, X8_144917, X9_144918, skc16, X11_144919, X12_144920, X13_144921, X14_144922))
%   -(ssPv5_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_144948, V_144949, W_144950, X_144951, Y_144952, Z_144953, X1_144954, X2_144955, X3_144956, X4_144957, X5_144958, X6_144959, X7_144960, X8_144961, X9_144962, skc17, X11_144963, X12_144964, X13_144965, X14_144966))
%   +(ssPv6_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_144992, V_144993, W_144994, X_144995, Y_144996, Z_144997, X1_144998, X2_144999, X3_145000, X4_145001, X5_145002, X6_145003, X7_145004, X8_145005, skc18, X10_145006, X11_145007, X12_145008, X13_145009, X14_145010))
%   -(ssPv6_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145036, V_145037, W_145038, X_145039, Y_145040, Z_145041, X1_145042, X2_145043, X3_145044, X4_145045, X5_145046, X6_145047, X7_145048, X8_145049, skc19, X10_145050, X11_145051, X12_145052, X13_145053, X14_145054))
%   -(ssPv7_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145080, V_145081, W_145082, X_145083, Y_145084, Z_145085, X1_145086, X2_145087, X3_145088, X4_145089, X5_145090, X6_145091, X7_145092, skc21, X9_145093, X10_145094, X11_145095, X12_145096, X13_145097, X14_145098))
%   +(ssPv7_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145124, V_145125, W_145126, X_145127, Y_145128, Z_145129, X1_145130, X2_145131, X3_145132, X4_145133, X5_145134, X6_145135, X7_145136, skc20, X9_145137, X10_145138, X11_145139, X12_145140, X13_145141, X14_145142))
%   -(ssPv8_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145168, V_145169, W_145170, X_145171, Y_145172, Z_145173, X1_145174, X2_145175, X3_145176, X4_145177, X5_145178, X6_145179, skc23, X8_145180, X9_145181, X10_145182, X11_145183, X12_145184, X13_145185, X14_145186))
%   +(ssPv8_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145212, V_145213, W_145214, X_145215, Y_145216, Z_145217, X1_145218, X2_145219, X3_145220, X4_145221, X5_145222, X6_145223, skc22, X8_145224, X9_145225, X10_145226, X11_145227, X12_145228, X13_145229, X14_145230))
%   -(ssPv13_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145256, V_145257, W_145258, X_145259, Y_145260, Z_145261, X1_145262, skc25, X3_145263, X4_145264, X5_145265, X6_145266, X7_145267, X8_145268, X9_145269, X10_145270, X11_145271, X12_145272, X13_145273, X14_145274))
%   +(ssPv13_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145300, V_145301, W_145302, X_145303, Y_145304, Z_145305, X1_145306, skc24, X3_145307, X4_145308, X5_145309, X6_145310, X7_145311, X8_145312, X9_145313, X10_145314, X11_145315, X12_145316, X13_145317, X14_145318))
%   +(ssPv14_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145344, V_145345, W_145346, X_145347, Y_145348, Z_145349, skc26, X2_145350, X3_145351, X4_145352, X5_145353, X6_145354, X7_145355, X8_145356, X9_145357, X10_145358, X11_145359, X12_145360, X13_145361, X14_145362))
%   -(ssPv14_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145388, V_145389, W_145390, X_145391, Y_145392, Z_145393, skc27, X2_145394, X3_145395, X4_145396, X5_145397, X6_145398, X7_145399, X8_145400, X9_145401, X10_145402, X11_145403, X12_145404, X13_145405, X14_145406))
%   -(ssPv15_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145432, V_145433, W_145434, X_145435, Y_145436, skc29, X1_145437, X2_145438, X3_145439, X4_145440, X5_145441, X6_145442, X7_145443, X8_145444, X9_145445, X10_145446, X11_145447, X12_145448, X13_145449, X14_145450))
%   +(ssPv15_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145476, V_145477, W_145478, X_145479, Y_145480, skc28, X1_145481, X2_145482, X3_145483, X4_145484, X5_145485, X6_145486, X7_145487, X8_145488, X9_145489, X10_145490, X11_145491, X12_145492, X13_145493, X14_145494))
%   +(ssPv16_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145520, V_145521, W_145522, X_145523, skc30, Z_145524, X1_145525, X2_145526, X3_145527, X4_145528, X5_145529, X6_145530, X7_145531, X8_145532, X9_145533, X10_145534, X11_145535, X12_145536, X13_145537, X14_145538))
%   -(ssPv16_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145564, V_145565, W_145566, X_145567, skc31, Z_145568, X1_145569, X2_145570, X3_145571, X4_145572, X5_145573, X6_145574, X7_145575, X8_145576, X9_145577, X10_145578, X11_145579, X12_145580, X13_145581, X14_145582))
%   +(ssPv5_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145607, V_145608, W_145609, X_145610, Y_145611, Z_145612, X1_145613, X2_145614, X3_145615, X4_145616, X5_145617, X6_145618, X7_145619, X8_145620, X9_145621, skc16, X11_145622, X12_145623, X13_145624))
%   -(ssPv5_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145649, V_145650, W_145651, X_145652, Y_145653, Z_145654, X1_145655, X2_145656, X3_145657, X4_145658, X5_145659, X6_145660, X7_145661, X8_145662, X9_145663, skc17, X11_145664, X12_145665, X13_145666))
%   -(ssPv6_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145691, V_145692, W_145693, X_145694, Y_145695, Z_145696, X1_145697, X2_145698, X3_145699, X4_145700, X5_145701, X6_145702, X7_145703, X8_145704, skc19, X10_145705, X11_145706, X12_145707, X13_145708))
%   +(ssPv6_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145733, V_145734, W_145735, X_145736, Y_145737, Z_145738, X1_145739, X2_145740, X3_145741, X4_145742, X5_145743, X6_145744, X7_145745, X8_145746, skc18, X10_145747, X11_145748, X12_145749, X13_145750))
%   +(ssPv7_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145775, V_145776, W_145777, X_145778, Y_145779, Z_145780, X1_145781, X2_145782, X3_145783, X4_145784, X5_145785, X6_145786, X7_145787, skc20, X9_145788, X10_145789, X11_145790, X12_145791, X13_145792))
%   -(ssPv7_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145817, V_145818, W_145819, X_145820, Y_145821, Z_145822, X1_145823, X2_145824, X3_145825, X4_145826, X5_145827, X6_145828, X7_145829, skc21, X9_145830, X10_145831, X11_145832, X12_145833, X13_145834))
%   -(ssPv8_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145859, V_145860, W_145861, X_145862, Y_145863, Z_145864, X1_145865, X2_145866, X3_145867, X4_145868, X5_145869, X6_145870, skc23, X8_145871, X9_145872, X10_145873, X11_145874, X12_145875, X13_145876))
%   +(ssPv8_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145901, V_145902, W_145903, X_145904, Y_145905, Z_145906, X1_145907, X2_145908, X3_145909, X4_145910, X5_145911, X6_145912, skc22, X8_145913, X9_145914, X10_145915, X11_145916, X12_145917, X13_145918))
%   -(ssPv13_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145943, V_145944, W_145945, X_145946, Y_145947, Z_145948, X1_145949, skc25, X3_145950, X4_145951, X5_145952, X6_145953, X7_145954, X8_145955, X9_145956, X10_145957, X11_145958, X12_145959, X13_145960))
%   +(ssPv13_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_145985, V_145986, W_145987, X_145988, Y_145989, Z_145990, X1_145991, skc24, X3_145992, X4_145993, X5_145994, X6_145995, X7_145996, X8_145997, X9_145998, X10_145999, X11_146000, X12_146001, X13_146002))
%   +(ssPv14_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146027, V_146028, W_146029, X_146030, Y_146031, Z_146032, skc26, X2_146033, X3_146034, X4_146035, X5_146036, X6_146037, X7_146038, X8_146039, X9_146040, X10_146041, X11_146042, X12_146043, X13_146044))
%   -(ssPv14_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146069, V_146070, W_146071, X_146072, Y_146073, Z_146074, skc27, X2_146075, X3_146076, X4_146077, X5_146078, X6_146079, X7_146080, X8_146081, X9_146082, X10_146083, X11_146084, X12_146085, X13_146086))
%   -(ssPv15_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146111, V_146112, W_146113, X_146114, Y_146115, skc29, X1_146116, X2_146117, X3_146118, X4_146119, X5_146120, X6_146121, X7_146122, X8_146123, X9_146124, X10_146125, X11_146126, X12_146127, X13_146128))
%   +(ssPv15_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146153, V_146154, W_146155, X_146156, Y_146157, skc28, X1_146158, X2_146159, X3_146160, X4_146161, X5_146162, X6_146163, X7_146164, X8_146165, X9_146166, X10_146167, X11_146168, X12_146169, X13_146170))
%   +(ssPv16_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146195, V_146196, W_146197, X_146198, skc30, Z_146199, X1_146200, X2_146201, X3_146202, X4_146203, X5_146204, X6_146205, X7_146206, X8_146207, X9_146208, X10_146209, X11_146210, X12_146211, X13_146212))
%   -(ssPv16_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146237, V_146238, W_146239, X_146240, skc31, Z_146241, X1_146242, X2_146243, X3_146244, X4_146245, X5_146246, X6_146247, X7_146248, X8_146249, X9_146250, X10_146251, X11_146252, X12_146253, X13_146254))
%   +(ssNder1_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146279, V_146280, W_146281, X_146282, Y_146283, Z_146284, X1_146285, X2_146286, X3_146287, X4_146288, X5_146289, X6_146290, X7_146291, X8_146292, X9_146293, X10_146294, X11_146295, X12_146296, X13_146297))
%   -(ssPv5_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146321, V_146322, W_146323, X_146324, Y_146325, Z_146326, X1_146327, X2_146328, X3_146329, X4_146330, X5_146331, X6_146332, X7_146333, X8_146334, X9_146335, skc17, X11_146336, X12_146337))
%   +(ssPv5_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146361, V_146362, W_146363, X_146364, Y_146365, Z_146366, X1_146367, X2_146368, X3_146369, X4_146370, X5_146371, X6_146372, X7_146373, X8_146374, X9_146375, skc16, X11_146376, X12_146377))
%   +(ssPv6_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146401, V_146402, W_146403, X_146404, Y_146405, Z_146406, X1_146407, X2_146408, X3_146409, X4_146410, X5_146411, X6_146412, X7_146413, X8_146414, skc18, X10_146415, X11_146416, X12_146417))
%   -(ssPv6_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146441, V_146442, W_146443, X_146444, Y_146445, Z_146446, X1_146447, X2_146448, X3_146449, X4_146450, X5_146451, X6_146452, X7_146453, X8_146454, skc19, X10_146455, X11_146456, X12_146457))
%   -(ssPv7_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146481, V_146482, W_146483, X_146484, Y_146485, Z_146486, X1_146487, X2_146488, X3_146489, X4_146490, X5_146491, X6_146492, X7_146493, skc21, X9_146494, X10_146495, X11_146496, X12_146497))
%   +(ssPv7_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146521, V_146522, W_146523, X_146524, Y_146525, Z_146526, X1_146527, X2_146528, X3_146529, X4_146530, X5_146531, X6_146532, X7_146533, skc20, X9_146534, X10_146535, X11_146536, X12_146537))
%   -(ssPv8_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146561, V_146562, W_146563, X_146564, Y_146565, Z_146566, X1_146567, X2_146568, X3_146569, X4_146570, X5_146571, X6_146572, skc23, X8_146573, X9_146574, X10_146575, X11_146576, X12_146577))
%   +(ssPv8_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146601, V_146602, W_146603, X_146604, Y_146605, Z_146606, X1_146607, X2_146608, X3_146609, X4_146610, X5_146611, X6_146612, skc22, X8_146613, X9_146614, X10_146615, X11_146616, X12_146617))
%   -(ssPv13_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146641, V_146642, W_146643, X_146644, Y_146645, Z_146646, X1_146647, skc25, X3_146648, X4_146649, X5_146650, X6_146651, X7_146652, X8_146653, X9_146654, X10_146655, X11_146656, X12_146657))
%   +(ssPv13_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146681, V_146682, W_146683, X_146684, Y_146685, Z_146686, X1_146687, skc24, X3_146688, X4_146689, X5_146690, X6_146691, X7_146692, X8_146693, X9_146694, X10_146695, X11_146696, X12_146697))
%   +(ssPv14_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146721, V_146722, W_146723, X_146724, Y_146725, Z_146726, skc26, X2_146727, X3_146728, X4_146729, X5_146730, X6_146731, X7_146732, X8_146733, X9_146734, X10_146735, X11_146736, X12_146737))
%   -(ssPv14_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146761, V_146762, W_146763, X_146764, Y_146765, Z_146766, skc27, X2_146767, X3_146768, X4_146769, X5_146770, X6_146771, X7_146772, X8_146773, X9_146774, X10_146775, X11_146776, X12_146777))
%   -(ssPv15_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146801, V_146802, W_146803, X_146804, Y_146805, skc29, X1_146806, X2_146807, X3_146808, X4_146809, X5_146810, X6_146811, X7_146812, X8_146813, X9_146814, X10_146815, X11_146816, X12_146817))
%   +(ssPv15_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146841, V_146842, W_146843, X_146844, Y_146845, skc28, X1_146846, X2_146847, X3_146848, X4_146849, X5_146850, X6_146851, X7_146852, X8_146853, X9_146854, X10_146855, X11_146856, X12_146857))
%   +(ssPv16_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146881, V_146882, W_146883, X_146884, skc30, Z_146885, X1_146886, X2_146887, X3_146888, X4_146889, X5_146890, X6_146891, X7_146892, X8_146893, X9_146894, X10_146895, X11_146896, X12_146897))
%   -(ssPv16_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146921, V_146922, W_146923, X_146924, skc31, Z_146925, X1_146926, X2_146927, X3_146928, X4_146929, X5_146930, X6_146931, X7_146932, X8_146933, X9_146934, X10_146935, X11_146936, X12_146937))
%   +(ssNder1_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_146961, V_146962, W_146963, X_146964, Y_146965, Z_146966, X1_146967, X2_146968, X3_146969, X4_146970, X5_146971, X6_146972, X7_146973, X8_146974, X9_146975, X10_146976, X11_146977, X12_146978))
%   -(ssPv5_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147001, V_147002, W_147003, X_147004, Y_147005, Z_147006, X1_147007, X2_147008, X3_147009, X4_147010, X5_147011, X6_147012, X7_147013, X8_147014, X9_147015, skc17, X11_147016))
%   +(ssPv5_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147039, V_147040, W_147041, X_147042, Y_147043, Z_147044, X1_147045, X2_147046, X3_147047, X4_147048, X5_147049, X6_147050, X7_147051, X8_147052, X9_147053, skc16, X11_147054))
%   +(ssPv6_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147077, V_147078, W_147079, X_147080, Y_147081, Z_147082, X1_147083, X2_147084, X3_147085, X4_147086, X5_147087, X6_147088, X7_147089, X8_147090, skc18, X10_147091, X11_147092))
%   -(ssPv6_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147115, V_147116, W_147117, X_147118, Y_147119, Z_147120, X1_147121, X2_147122, X3_147123, X4_147124, X5_147125, X6_147126, X7_147127, X8_147128, skc19, X10_147129, X11_147130))
%   -(ssPv7_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147153, V_147154, W_147155, X_147156, Y_147157, Z_147158, X1_147159, X2_147160, X3_147161, X4_147162, X5_147163, X6_147164, X7_147165, skc21, X9_147166, X10_147167, X11_147168))
%   +(ssPv7_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147191, V_147192, W_147193, X_147194, Y_147195, Z_147196, X1_147197, X2_147198, X3_147199, X4_147200, X5_147201, X6_147202, X7_147203, skc20, X9_147204, X10_147205, X11_147206))
%   +(ssPv8_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147229, V_147230, W_147231, X_147232, Y_147233, Z_147234, X1_147235, X2_147236, X3_147237, X4_147238, X5_147239, X6_147240, skc22, X8_147241, X9_147242, X10_147243, X11_147244))
%   -(ssPv8_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147267, V_147268, W_147269, X_147270, Y_147271, Z_147272, X1_147273, X2_147274, X3_147275, X4_147276, X5_147277, X6_147278, skc23, X8_147279, X9_147280, X10_147281, X11_147282))
%   +(ssPv13_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147305, V_147306, W_147307, X_147308, Y_147309, Z_147310, X1_147311, skc24, X3_147312, X4_147313, X5_147314, X6_147315, X7_147316, X8_147317, X9_147318, X10_147319, X11_147320))
%   -(ssPv13_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147343, V_147344, W_147345, X_147346, Y_147347, Z_147348, X1_147349, skc25, X3_147350, X4_147351, X5_147352, X6_147353, X7_147354, X8_147355, X9_147356, X10_147357, X11_147358))
%   -(ssPv14_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147381, V_147382, W_147383, X_147384, Y_147385, Z_147386, skc27, X2_147387, X3_147388, X4_147389, X5_147390, X6_147391, X7_147392, X8_147393, X9_147394, X10_147395, X11_147396))
%   +(ssPv14_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147419, V_147420, W_147421, X_147422, Y_147423, Z_147424, skc26, X2_147425, X3_147426, X4_147427, X5_147428, X6_147429, X7_147430, X8_147431, X9_147432, X10_147433, X11_147434))
%   +(ssPv15_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147457, V_147458, W_147459, X_147460, Y_147461, skc28, X1_147462, X2_147463, X3_147464, X4_147465, X5_147466, X6_147467, X7_147468, X8_147469, X9_147470, X10_147471, X11_147472))
%   -(ssPv15_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147495, V_147496, W_147497, X_147498, Y_147499, skc29, X1_147500, X2_147501, X3_147502, X4_147503, X5_147504, X6_147505, X7_147506, X8_147507, X9_147508, X10_147509, X11_147510))
%   -(ssPv16_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147533, V_147534, W_147535, X_147536, skc31, Z_147537, X1_147538, X2_147539, X3_147540, X4_147541, X5_147542, X6_147543, X7_147544, X8_147545, X9_147546, X10_147547, X11_147548))
%   +(ssPv16_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147571, V_147572, W_147573, X_147574, skc30, Z_147575, X1_147576, X2_147577, X3_147578, X4_147579, X5_147580, X6_147581, X7_147582, X8_147583, X9_147584, X10_147585, X11_147586))
%   +(ssNder1_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147609, V_147610, W_147611, X_147612, Y_147613, Z_147614, X1_147615, X2_147616, X3_147617, X4_147618, X5_147619, X6_147620, X7_147621, X8_147622, X9_147623, X10_147624, X11_147625))
%   -(ssPv6_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147647, V_147648, W_147649, X_147650, Y_147651, Z_147652, X1_147653, X2_147654, X3_147655, X4_147656, X5_147657, X6_147658, X7_147659, X8_147660, skc19, X10_147661))
%   +(ssPv6_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147683, V_147684, W_147685, X_147686, Y_147687, Z_147688, X1_147689, X2_147690, X3_147691, X4_147692, X5_147693, X6_147694, X7_147695, X8_147696, skc18, X10_147697))
%   +(ssPv7_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147719, V_147720, W_147721, X_147722, Y_147723, Z_147724, X1_147725, X2_147726, X3_147727, X4_147728, X5_147729, X6_147730, X7_147731, skc20, X9_147732, X10_147733))
%   -(ssPv7_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147755, V_147756, W_147757, X_147758, Y_147759, Z_147760, X1_147761, X2_147762, X3_147763, X4_147764, X5_147765, X6_147766, X7_147767, skc21, X9_147768, X10_147769))
%   -(ssPv8_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147791, V_147792, W_147793, X_147794, Y_147795, Z_147796, X1_147797, X2_147798, X3_147799, X4_147800, X5_147801, X6_147802, skc23, X8_147803, X9_147804, X10_147805))
%   +(ssPv8_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147827, V_147828, W_147829, X_147830, Y_147831, Z_147832, X1_147833, X2_147834, X3_147835, X4_147836, X5_147837, X6_147838, skc22, X8_147839, X9_147840, X10_147841))
%   +(ssPv13_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147863, V_147864, W_147865, X_147866, Y_147867, Z_147868, X1_147869, skc24, X3_147870, X4_147871, X5_147872, X6_147873, X7_147874, X8_147875, X9_147876, X10_147877))
%   -(ssPv13_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147899, V_147900, W_147901, X_147902, Y_147903, Z_147904, X1_147905, skc25, X3_147906, X4_147907, X5_147908, X6_147909, X7_147910, X8_147911, X9_147912, X10_147913))
%   -(ssPv14_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147935, V_147936, W_147937, X_147938, Y_147939, Z_147940, skc27, X2_147941, X3_147942, X4_147943, X5_147944, X6_147945, X7_147946, X8_147947, X9_147948, X10_147949))
%   +(ssPv14_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_147971, V_147972, W_147973, X_147974, Y_147975, Z_147976, skc26, X2_147977, X3_147978, X4_147979, X5_147980, X6_147981, X7_147982, X8_147983, X9_147984, X10_147985))
%   +(ssPv15_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148007, V_148008, W_148009, X_148010, Y_148011, skc28, X1_148012, X2_148013, X3_148014, X4_148015, X5_148016, X6_148017, X7_148018, X8_148019, X9_148020, X10_148021))
%   -(ssPv15_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148043, V_148044, W_148045, X_148046, Y_148047, skc29, X1_148048, X2_148049, X3_148050, X4_148051, X5_148052, X6_148053, X7_148054, X8_148055, X9_148056, X10_148057))
%   -(ssPv16_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148079, V_148080, W_148081, X_148082, skc31, Z_148083, X1_148084, X2_148085, X3_148086, X4_148087, X5_148088, X6_148089, X7_148090, X8_148091, X9_148092, X10_148093))
%   +(ssPv16_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148115, V_148116, W_148117, X_148118, skc30, Z_148119, X1_148120, X2_148121, X3_148122, X4_148123, X5_148124, X6_148125, X7_148126, X8_148127, X9_148128, X10_148129))
%   +(ssNder1_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148151, V_148152, W_148153, X_148154, Y_148155, Z_148156, X1_148157, X2_148158, X3_148159, X4_148160, X5_148161, X6_148162, X7_148163, X8_148164, X9_148165, X10_148166))
%   +(ssPv7_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148187, V_148188, W_148189, X_148190, Y_148191, Z_148192, X1_148193, X2_148194, X3_148195, X4_148196, X5_148197, X6_148198, X7_148199, skc20, X9_148200))
%   -(ssPv7_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148221, V_148222, W_148223, X_148224, Y_148225, Z_148226, X1_148227, X2_148228, X3_148229, X4_148230, X5_148231, X6_148232, X7_148233, skc21, X9_148234))
%   -(ssPv8_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148255, V_148256, W_148257, X_148258, Y_148259, Z_148260, X1_148261, X2_148262, X3_148263, X4_148264, X5_148265, X6_148266, skc23, X8_148267, X9_148268))
%   +(ssPv8_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148289, V_148290, W_148291, X_148292, Y_148293, Z_148294, X1_148295, X2_148296, X3_148297, X4_148298, X5_148299, X6_148300, skc22, X8_148301, X9_148302))
%   -(ssPv13_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148323, V_148324, W_148325, X_148326, Y_148327, Z_148328, X1_148329, skc25, X3_148330, X4_148331, X5_148332, X6_148333, X7_148334, X8_148335, X9_148336))
%   +(ssPv13_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148357, V_148358, W_148359, X_148360, Y_148361, Z_148362, X1_148363, skc24, X3_148364, X4_148365, X5_148366, X6_148367, X7_148368, X8_148369, X9_148370))
%   +(ssPv14_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148391, V_148392, W_148393, X_148394, Y_148395, Z_148396, skc26, X2_148397, X3_148398, X4_148399, X5_148400, X6_148401, X7_148402, X8_148403, X9_148404))
%   -(ssPv14_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148425, V_148426, W_148427, X_148428, Y_148429, Z_148430, skc27, X2_148431, X3_148432, X4_148433, X5_148434, X6_148435, X7_148436, X8_148437, X9_148438))
%   -(ssPv15_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148459, V_148460, W_148461, X_148462, Y_148463, skc29, X1_148464, X2_148465, X3_148466, X4_148467, X5_148468, X6_148469, X7_148470, X8_148471, X9_148472))
%   +(ssPv15_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148493, V_148494, W_148495, X_148496, Y_148497, skc28, X1_148498, X2_148499, X3_148500, X4_148501, X5_148502, X6_148503, X7_148504, X8_148505, X9_148506))
%   +(ssPv16_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148527, V_148528, W_148529, X_148530, skc30, Z_148531, X1_148532, X2_148533, X3_148534, X4_148535, X5_148536, X6_148537, X7_148538, X8_148539, X9_148540))
%   -(ssPv16_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148561, V_148562, W_148563, X_148564, skc31, Z_148565, X1_148566, X2_148567, X3_148568, X4_148569, X5_148570, X6_148571, X7_148572, X8_148573, X9_148574))
%   -(ssPv5_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148596, V_148597, W_148598, X_148599, Y_148600, Z_148601, X1_148602, X2_148603, X3_148604, X4_148605, X5_148606, X6_148607, X7_148608, X8_148609, X9_148610, skc17))
%   +(ssPv5_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148632, V_148633, W_148634, X_148635, Y_148636, Z_148637, X1_148638, X2_148639, X3_148640, X4_148641, X5_148642, X6_148643, X7_148644, X8_148645, X9_148646, skc16))
%   +(ssNder1_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148667, V_148668, W_148669, X_148670, Y_148671, Z_148672, X1_148673, X2_148674, X3_148675, X4_148676, X5_148677, X6_148678, X7_148679, X8_148680, X9_148681))
%   +(ssPv8_14r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148701, V_148702, W_148703, X_148704, Y_148705, Z_148706, X1_148707, X2_148708, X3_148709, X4_148710, X5_148711, X6_148712, skc22, X8_148713))
%   -(ssPv8_14r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148733, V_148734, W_148735, X_148736, Y_148737, Z_148738, X1_148739, X2_148740, X3_148741, X4_148742, X5_148743, X6_148744, skc23, X8_148745))
%   -(ssPv13_14r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148765, V_148766, W_148767, X_148768, Y_148769, Z_148770, X1_148771, skc25, X3_148772, X4_148773, X5_148774, X6_148775, X7_148776, X8_148777))
%   +(ssPv13_14r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148797, V_148798, W_148799, X_148800, Y_148801, Z_148802, X1_148803, skc24, X3_148804, X4_148805, X5_148806, X6_148807, X7_148808, X8_148809))
%   +(ssPv14_14r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148829, V_148830, W_148831, X_148832, Y_148833, Z_148834, skc26, X2_148835, X3_148836, X4_148837, X5_148838, X6_148839, X7_148840, X8_148841))
%   -(ssPv14_14r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148861, V_148862, W_148863, X_148864, Y_148865, Z_148866, skc27, X2_148867, X3_148868, X4_148869, X5_148870, X6_148871, X7_148872, X8_148873))
%   -(ssPv15_14r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148893, V_148894, W_148895, X_148896, Y_148897, skc29, X1_148898, X2_148899, X3_148900, X4_148901, X5_148902, X6_148903, X7_148904, X8_148905))
%   +(ssPv15_14r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148925, V_148926, W_148927, X_148928, Y_148929, skc28, X1_148930, X2_148931, X3_148932, X4_148933, X5_148934, X6_148935, X7_148936, X8_148937))
%   +(ssPv16_14r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148957, V_148958, W_148959, X_148960, skc30, Z_148961, X1_148962, X2_148963, X3_148964, X4_148965, X5_148966, X6_148967, X7_148968, X8_148969))
%   -(ssPv16_14r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_148989, V_148990, W_148991, X_148992, skc31, Z_148993, X1_148994, X2_148995, X3_148996, X4_148997, X5_148998, X6_148999, X7_149000, X8_149001))
%   -(ssPv6_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_149022, V_149023, W_149024, X_149025, Y_149026, Z_149027, X1_149028, X2_149029, X3_149030, X4_149031, X5_149032, X6_149033, X7_149034, X8_149035, skc19))
%   +(ssPv6_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_149056, V_149057, W_149058, X_149059, Y_149060, Z_149061, X1_149062, X2_149063, X3_149064, X4_149065, X5_149066, X6_149067, X7_149068, X8_149069, skc18))
%   +(ssNder1_14r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_149089, V_149090, W_149091, X_149092, Y_149093, Z_149094, X1_149095, X2_149096, X3_149097, X4_149098, X5_149099, X6_149100, X7_149101, X8_149102))
%   -(ssPv13_13r1r1r1r1r1r1r1r1r1r1r1r1r1(U_149121, V_149122, W_149123, X_149124, Y_149125, Z_149126, X1_149127, skc25, X3_149128, X4_149129, X5_149130, X6_149131, X7_149132))
%   +(ssPv13_13r1r1r1r1r1r1r1r1r1r1r1r1r1(U_149151, V_149152, W_149153, X_149154, Y_149155, Z_149156, X1_149157, skc24, X3_149158, X4_149159, X5_149160, X6_149161, X7_149162))
%   +(ssPv14_13r1r1r1r1r1r1r1r1r1r1r1r1r1(U_149181, V_149182, W_149183, X_149184, Y_149185, Z_149186, skc26, X2_149187, X3_149188, X4_149189, X5_149190, X6_149191, X7_149192))
%   -(ssPv14_13r1r1r1r1r1r1r1r1r1r1r1r1r1(U_149211, V_149212, W_149213, X_149214, Y_149215, Z_149216, skc27, X2_149217, X3_149218, X4_149219, X5_149220, X6_149221, X7_149222))
%   -(ssPv15_13r1r1r1r1r1r1r1r1r1r1r1r1r1(U_149241, V_149242, W_149243, X_149244, Y_149245, skc29, X1_149246, X2_149247, X3_149248, X4_149249, X5_149250, X6_149251, X7_149252))
%   +(ssPv15_13r1r1r1r1r1r1r1r1r1r1r1r1r1(U_149271, V_149272, W_149273, X_149274, Y_149275, skc28, X1_149276, X2_149277, X3_149278, X4_149279, X5_149280, X6_149281, X7_149282))
%   -(ssPv16_13r1r1r1r1r1r1r1r1r1r1r1r1r1(U_149301, V_149302, W_149303, X_149304, skc31, Z_149305, X1_149306, X2_149307, X3_149308, X4_149309, X5_149310, X6_149311, X7_149312))
%   +(ssPv16_13r1r1r1r1r1r1r1r1r1r1r1r1r1(U_149331, V_149332, W_149333, X_149334, skc30, Z_149335, X1_149336, X2_149337, X3_149338, X4_149339, X5_149340, X6_149341, X7_149342))
%   -(ssPv7_14r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_149362, V_149363, W_149364, X_149365, Y_149366, Z_149367, X1_149368, X2_149369, X3_149370, X4_149371, X5_149372, X6_149373, X7_149374, skc21))
%   +(ssPv7_14r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_149394, V_149395, W_149396, X_149397, Y_149398, Z_149399, X1_149400, X2_149401, X3_149402, X4_149403, X5_149404, X6_149405, X7_149406, skc20))
%   +(ssNder1_13r1r1r1r1r1r1r1r1r1r1r1r1r1(U_149425, V_149426, W_149427, X_149428, Y_149429, Z_149430, X1_149431, X2_149432, X3_149433, X4_149434, X5_149435, X6_149436, X7_149437))
%   -(ssPv13_12r1r1r1r1r1r1r1r1r1r1r1r1(U_149455, V_149456, W_149457, X_149458, Y_149459, Z_149460, X1_149461, skc25, X3_149462, X4_149463, X5_149464, X6_149465))
%   +(ssPv13_12r1r1r1r1r1r1r1r1r1r1r1r1(U_149483, V_149484, W_149485, X_149486, Y_149487, Z_149488, X1_149489, skc24, X3_149490, X4_149491, X5_149492, X6_149493))
%   +(ssPv14_12r1r1r1r1r1r1r1r1r1r1r1r1(U_149511, V_149512, W_149513, X_149514, Y_149515, Z_149516, skc26, X2_149517, X3_149518, X4_149519, X5_149520, X6_149521))
%   -(ssPv14_12r1r1r1r1r1r1r1r1r1r1r1r1(U_149539, V_149540, W_149541, X_149542, Y_149543, Z_149544, skc27, X2_149545, X3_149546, X4_149547, X5_149548, X6_149549))
%   +(ssPv15_12r1r1r1r1r1r1r1r1r1r1r1r1(U_149567, V_149568, W_149569, X_149570, Y_149571, skc28, X1_149572, X2_149573, X3_149574, X4_149575, X5_149576, X6_149577))
%   -(ssPv15_12r1r1r1r1r1r1r1r1r1r1r1r1(U_149595, V_149596, W_149597, X_149598, Y_149599, skc29, X1_149600, X2_149601, X3_149602, X4_149603, X5_149604, X6_149605))
%   -(ssPv16_12r1r1r1r1r1r1r1r1r1r1r1r1(U_149623, V_149624, W_149625, X_149626, skc31, Z_149627, X1_149628, X2_149629, X3_149630, X4_149631, X5_149632, X6_149633))
%   +(ssPv16_12r1r1r1r1r1r1r1r1r1r1r1r1(U_149651, V_149652, W_149653, X_149654, skc30, Z_149655, X1_149656, X2_149657, X3_149658, X4_149659, X5_149660, X6_149661))
%   -(ssPv8_13r1r1r1r1r1r1r1r1r1r1r1r1r1(U_149680, V_149681, W_149682, X_149683, Y_149684, Z_149685, X1_149686, X2_149687, X3_149688, X4_149689, X5_149690, X6_149691, skc23))
%   +(ssPv8_13r1r1r1r1r1r1r1r1r1r1r1r1r1(U_149710, V_149711, W_149712, X_149713, Y_149714, Z_149715, X1_149716, X2_149717, X3_149718, X4_149719, X5_149720, X6_149721, skc22))
%   +(ssNder1_12r1r1r1r1r1r1r1r1r1r1r1r1(U_149739, V_149740, W_149741, X_149742, Y_149743, Z_149744, X1_149745, X2_149746, X3_149747, X4_149748, X5_149749, X6_149750))
%   -(ssPv13_11r1r1r1r1r1r1r1r1r1r1r1(U_149767, V_149768, W_149769, X_149770, Y_149771, Z_149772, X1_149773, skc25, X3_149774, X4_149775, X5_149776))
%   +(ssPv13_11r1r1r1r1r1r1r1r1r1r1r1(U_149793, V_149794, W_149795, X_149796, Y_149797, Z_149798, X1_149799, skc24, X3_149800, X4_149801, X5_149802))
%   +(ssPv14_11r1r1r1r1r1r1r1r1r1r1r1(U_149819, V_149820, W_149821, X_149822, Y_149823, Z_149824, skc26, X2_149825, X3_149826, X4_149827, X5_149828))
%   -(ssPv14_11r1r1r1r1r1r1r1r1r1r1r1(U_149845, V_149846, W_149847, X_149848, Y_149849, Z_149850, skc27, X2_149851, X3_149852, X4_149853, X5_149854))
%   -(ssPv15_11r1r1r1r1r1r1r1r1r1r1r1(U_149871, V_149872, W_149873, X_149874, Y_149875, skc29, X1_149876, X2_149877, X3_149878, X4_149879, X5_149880))
%   +(ssPv15_11r1r1r1r1r1r1r1r1r1r1r1(U_149897, V_149898, W_149899, X_149900, Y_149901, skc28, X1_149902, X2_149903, X3_149904, X4_149905, X5_149906))
%   +(ssPv16_11r1r1r1r1r1r1r1r1r1r1r1(U_149923, V_149924, W_149925, X_149926, skc30, Z_149927, X1_149928, X2_149929, X3_149930, X4_149931, X5_149932))
%   -(ssPv16_11r1r1r1r1r1r1r1r1r1r1r1(U_149949, V_149950, W_149951, X_149952, skc31, Z_149953, X1_149954, X2_149955, X3_149956, X4_149957, X5_149958))
%   +(ssNder1_11r1r1r1r1r1r1r1r1r1r1r1(U_149975, V_149976, W_149977, X_149978, Y_149979, Z_149980, X1_149981, X2_149982, X3_149983, X4_149984, X5_149985))
%   +(ssPv13_10r1r1r1r1r1r1r1r1r1r1(U_150001, V_150002, W_150003, X_150004, Y_150005, Z_150006, X1_150007, skc24, X3_150008, X4_150009))
%   -(ssPv13_10r1r1r1r1r1r1r1r1r1r1(U_150025, V_150026, W_150027, X_150028, Y_150029, Z_150030, X1_150031, skc25, X3_150032, X4_150033))
%   -(ssPv14_10r1r1r1r1r1r1r1r1r1r1(U_150049, V_150050, W_150051, X_150052, Y_150053, Z_150054, skc27, X2_150055, X3_150056, X4_150057))
%   +(ssPv14_10r1r1r1r1r1r1r1r1r1r1(U_150073, V_150074, W_150075, X_150076, Y_150077, Z_150078, skc26, X2_150079, X3_150080, X4_150081))
%   +(ssPv15_10r1r1r1r1r1r1r1r1r1r1(U_150097, V_150098, W_150099, X_150100, Y_150101, skc28, X1_150102, X2_150103, X3_150104, X4_150105))
%   -(ssPv15_10r1r1r1r1r1r1r1r1r1r1(U_150121, V_150122, W_150123, X_150124, Y_150125, skc29, X1_150126, X2_150127, X3_150128, X4_150129))
%   -(ssPv16_10r1r1r1r1r1r1r1r1r1r1(U_150145, V_150146, W_150147, X_150148, skc31, Z_150149, X1_150150, X2_150151, X3_150152, X4_150153))
%   +(ssPv16_10r1r1r1r1r1r1r1r1r1r1(U_150169, V_150170, W_150171, X_150172, skc30, Z_150173, X1_150174, X2_150175, X3_150176, X4_150177))
%   +(ssNder1_10r1r1r1r1r1r1r1r1r1r1(U_150193, V_150194, W_150195, X_150196, Y_150197, Z_150198, X1_150199, X2_150200, X3_150201, X4_150202))
%   -(ssPv13_9r1r1r1r1r1r1r1r1r1(U_150217, V_150218, W_150219, X_150220, Y_150221, Z_150222, X1_150223, skc25, X3_150224))
%   +(ssPv13_9r1r1r1r1r1r1r1r1r1(U_150239, V_150240, W_150241, X_150242, Y_150243, Z_150244, X1_150245, skc24, X3_150246))
%   +(ssPv14_9r1r1r1r1r1r1r1r1r1(U_150261, V_150262, W_150263, X_150264, Y_150265, Z_150266, skc26, X2_150267, X3_150268))
%   -(ssPv14_9r1r1r1r1r1r1r1r1r1(U_150283, V_150284, W_150285, X_150286, Y_150287, Z_150288, skc27, X2_150289, X3_150290))
%   -(ssPv15_9r1r1r1r1r1r1r1r1r1(U_150305, V_150306, W_150307, X_150308, Y_150309, skc29, X1_150310, X2_150311, X3_150312))
%   +(ssPv15_9r1r1r1r1r1r1r1r1r1(U_150327, V_150328, W_150329, X_150330, Y_150331, skc28, X1_150332, X2_150333, X3_150334))
%   +(ssPv16_9r1r1r1r1r1r1r1r1r1(U_150349, V_150350, W_150351, X_150352, skc30, Z_150353, X1_150354, X2_150355, X3_150356))
%   -(ssPv16_9r1r1r1r1r1r1r1r1r1(U_150371, V_150372, W_150373, X_150374, skc31, Z_150375, X1_150376, X2_150377, X3_150378))
%   +(ssNder1_9r1r1r1r1r1r1r1r1r1(U_150393, V_150394, W_150395, X_150396, Y_150397, Z_150398, X1_150399, X2_150400, X3_150401))
%   +(ssPv14_8r1r1r1r1r1r1r1r1(U_150415, V_150416, W_150417, X_150418, Y_150419, Z_150420, skc26, X2_150421))
%   -(ssPv14_8r1r1r1r1r1r1r1r1(U_150435, V_150436, W_150437, X_150438, Y_150439, Z_150440, skc27, X2_150441))
%   -(ssPv15_8r1r1r1r1r1r1r1r1(U_150455, V_150456, W_150457, X_150458, Y_150459, skc29, X1_150460, X2_150461))
%   +(ssPv15_8r1r1r1r1r1r1r1r1(U_150475, V_150476, W_150477, X_150478, Y_150479, skc28, X1_150480, X2_150481))
%   +(ssPv16_8r1r1r1r1r1r1r1r1(U_150495, V_150496, W_150497, X_150498, skc30, Z_150499, X1_150500, X2_150501))
%   -(ssPv16_8r1r1r1r1r1r1r1r1(U_150515, V_150516, W_150517, X_150518, skc31, Z_150519, X1_150520, X2_150521))
%   +(ssNder1_8r1r1r1r1r1r1r1r1(U_150535, V_150536, W_150537, X_150538, Y_150539, Z_150540, X1_150541, X2_150542))
%   +(ssPv15_7r1r1r1r1r1r1r1(U_150555, V_150556, W_150557, X_150558, Y_150559, skc28, X1_150560))
%   -(ssPv15_7r1r1r1r1r1r1r1(U_150573, V_150574, W_150575, X_150576, Y_150577, skc29, X1_150578))
%   -(ssPv16_7r1r1r1r1r1r1r1(U_150591, V_150592, W_150593, X_150594, skc31, Z_150595, X1_150596))
%   +(ssPv16_7r1r1r1r1r1r1r1(U_150609, V_150610, W_150611, X_150612, skc30, Z_150613, X1_150614))
%   -(ssPv13_8r1r1r1r1r1r1r1r1(U_150628, V_150629, W_150630, X_150631, Y_150632, Z_150633, X1_150634, skc25))
%   +(ssPv13_8r1r1r1r1r1r1r1r1(U_150648, V_150649, W_150650, X_150651, Y_150652, Z_150653, X1_150654, skc24))
%   +(ssNder1_7r1r1r1r1r1r1r1(U_150667, V_150668, W_150669, X_150670, Y_150671, Z_150672, X1_150673))
%   -(ssPv16_6r1r1r1r1r1r1(U_150685, V_150686, W_150687, X_150688, skc31, Z_150689))
%   +(ssPv16_6r1r1r1r1r1r1(U_150701, V_150702, W_150703, X_150704, skc30, Z_150705))
%   -(ssPv14_7r1r1r1r1r1r1r1(U_150718, V_150719, W_150720, X_150721, Y_150722, Z_150723, skc27))
%   +(ssPv14_7r1r1r1r1r1r1r1(U_150736, V_150737, W_150738, X_150739, Y_150740, Z_150741, skc26))
%   +(ssNder1_6r1r1r1r1r1r1(U_150753, V_150754, W_150755, X_150756, Y_150757, Z_150758))
%   -(ssPv15_6r1r1r1r1r1r1(U_150770, V_150771, W_150772, X_150773, Y_150774, skc29))
%   +(ssPv15_6r1r1r1r1r1r1(U_150786, V_150787, W_150788, X_150789, Y_150790, skc28))
%   +(ssNder1_5r1r1r1r1r1(U_150801, V_150802, W_150803, X_150804, Y_150805))
%   -(ssPv16_5r1r1r1r1r1(U_150816, V_150817, W_150818, X_150819, skc31))
%   +(ssPv16_5r1r1r1r1r1(U_150830, V_150831, W_150832, X_150833, skc30))
%   +(ssNder1_4r1r1r1r1(U_150843, V_150844, W_150845, X_150846))
%   +(ssNder1_3r1r1r1(U_150855, V_150856, W_150857))
%   +(ssNder1_2r1r1(U_150865, V_150866))
%   +(ssNder1_1r1(U_150873))
%   +(ssNder1_0)
%   +(_150881)
%   -(ssPv18_3r1r1r1(U_150907, V_150908, W_150909))
%   -(ssPv18_4r1r1r1r1(U_150936, V_150937, W_150938, X_150939))
%   -(ssPv18_5r1r1r1r1r1(U_150967, V_150968, W_150969, X_150970, Y_150971))
%   -(ssPv18_6r1r1r1r1r1r1(U_151000, V_151001, W_151002, X_151003, Y_151004, Z_151005))
%   -(ssPv18_7r1r1r1r1r1r1r1(U_151035, V_151036, W_151037, X_151038, Y_151039, Z_151040, X1_151041))
%   -(ssPv18_8r1r1r1r1r1r1r1r1(U_151072, V_151073, W_151074, X_151075, Y_151076, Z_151077, X1_151078, X2_151079))
%   -(ssPv18_9r1r1r1r1r1r1r1r1r1(U_151111, V_151112, W_151113, X_151114, Y_151115, Z_151116, X1_151117, X2_151118, X3_151119))
%   -(ssPv18_10r1r1r1r1r1r1r1r1r1r1(U_151152, V_151153, W_151154, X_151155, Y_151156, Z_151157, X1_151158, X2_151159, X3_151160, X4_151161))
%   -(ssPv18_11r1r1r1r1r1r1r1r1r1r1r1(U_151195, V_151196, W_151197, X_151198, Y_151199, Z_151200, X1_151201, X2_151202, X3_151203, X4_151204, X5_151205))
%   -(ssPv18_12r1r1r1r1r1r1r1r1r1r1r1r1(U_151240, V_151241, W_151242, X_151243, Y_151244, Z_151245, X1_151246, X2_151247, X3_151248, X4_151249, X5_151250, X6_151251))
%   -(ssPv11_10r1r1r1r1r1r1r1r1r1r1(U_151284, V_151285, W_151286, X_151287, Y_151288, Z_151289, X1_151290, X2_151291, X3_151292, X4_151293))
%   -(ssPv11_11r1r1r1r1r1r1r1r1r1r1r1(U_151327, V_151328, W_151329, X_151330, Y_151331, Z_151332, X1_151333, X2_151334, X3_151335, X4_151336, X5_151337))
%   -(ssPv11_12r1r1r1r1r1r1r1r1r1r1r1r1(U_151372, V_151373, W_151374, X_151375, Y_151376, Z_151377, X1_151378, X2_151379, X3_151380, X4_151381, X5_151382, X6_151383))
%   -(ssPv18_13r1r1r1r1r1r1r1r1r1r1r1r1r1(U_151419, V_151420, W_151421, X_151422, Y_151423, Z_151424, X1_151425, X2_151426, X3_151427, X4_151428, X5_151429, X6_151430, X7_151431))
%   -(ssPv11_13r1r1r1r1r1r1r1r1r1r1r1r1r1(U_151467, V_151468, W_151469, X_151470, Y_151471, Z_151472, X1_151473, X2_151474, X3_151475, X4_151476, X5_151477, X6_151478, X7_151479))
%   -(ssPv18_14r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_151516, V_151517, W_151518, X_151519, Y_151520, Z_151521, X1_151522, X2_151523, X3_151524, X4_151525, X5_151526, X6_151527, X7_151528, X8_151529))
%   -(ssPv11_14r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_151566, V_151567, W_151568, X_151569, Y_151570, Z_151571, X1_151572, X2_151573, X3_151574, X4_151575, X5_151576, X6_151577, X7_151578, X8_151579))
%   -(ssPv18_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_151617, V_151618, W_151619, X_151620, Y_151621, Z_151622, X1_151623, X2_151624, X3_151625, X4_151626, X5_151627, X6_151628, X7_151629, X8_151630, X9_151631))
%   +(ssPv10_13r1r1r1r1r1r1r1r1r1r1r1r1r1(U_151667, V_151668, W_151669, X_151670, Y_151671, Z_151672, X1_151673, skc25, X3_151674, X4_151675, X5_151676, X6_151677, skc23))
%   -(ssPv4_13r1r1r1r1r1r1r1r1r1r1r1r1r1(U_151713, V_151714, W_151715, X_151716, Y_151717, Z_151718, X1_151719, skc25, X3_151720, X4_151721, X5_151722, X6_151723, skc23))
%   -(ssPv11_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_151761, V_151762, W_151763, X_151764, Y_151765, Z_151766, X1_151767, X2_151768, X3_151769, X4_151770, X5_151771, X6_151772, X7_151773, X8_151774, X9_151775))
%   -(ssPv18_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_151814, V_151815, W_151816, X_151817, Y_151818, Z_151819, X1_151820, X2_151821, X3_151822, X4_151823, X5_151824, X6_151825, X7_151826, X8_151827, X9_151828, X10_151829))
%   -(ssPv11_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_151868, V_151869, W_151870, X_151871, Y_151872, Z_151873, X1_151874, X2_151875, X3_151876, X4_151877, X5_151878, X6_151879, X7_151880, X8_151881, X9_151882, X10_151883))
%   -(ssPv18_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_151923, V_151924, W_151925, X_151926, Y_151927, Z_151928, X1_151929, X2_151930, X3_151931, X4_151932, X5_151933, X6_151934, X7_151935, X8_151936, X9_151937, X10_151938, X11_151939))
%   -(ssPv11_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_151979, V_151980, W_151981, X_151982, Y_151983, Z_151984, X1_151985, X2_151986, X3_151987, X4_151988, X5_151989, X6_151990, X7_151991, X8_151992, X9_151993, X10_151994, X11_151995))
%   -(ssPv18_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_152036, V_152037, W_152038, X_152039, Y_152040, Z_152041, X1_152042, X2_152043, X3_152044, X4_152045, X5_152046, X6_152047, X7_152048, X8_152049, X9_152050, X10_152051, X11_152052, X12_152053))
%   -(ssPv11_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_152094, V_152095, W_152096, X_152097, Y_152098, Z_152099, X1_152100, X2_152101, X3_152102, X4_152103, X5_152104, X6_152105, X7_152106, X8_152107, X9_152108, X10_152109, X11_152110, X12_152111))
%   -(ssPv18_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_152153, V_152154, W_152155, X_152156, Y_152157, Z_152158, X1_152159, X2_152160, X3_152161, X4_152162, X5_152163, X6_152164, X7_152165, X8_152166, X9_152167, X10_152168, X11_152169, X12_152170, X13_152171))
%   -(ssPv11_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_152213, V_152214, W_152215, X_152216, Y_152217, Z_152218, X1_152219, X2_152220, X3_152221, X4_152222, X5_152223, X6_152224, X7_152225, X8_152226, X9_152227, X10_152228, X11_152229, X12_152230, X13_152231))
%   +(ssPv10_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_152271, V_152272, W_152273, X_152274, Y_152275, Z_152276, X1_152277, skc25, X3_152278, X4_152279, X5_152280, X6_152281, skc23, X8_152282, X9_152283, X10_152284, X11_152285))
%   -(ssPv4_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_152325, V_152326, W_152327, X_152328, Y_152329, Z_152330, X1_152331, skc25, X3_152332, X4_152333, X5_152334, X6_152335, skc23, X8_152336, X9_152337, X10_152338, X11_152339))
%   -(ssPv4_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_152380, V_152381, W_152382, X_152383, Y_152384, Z_152385, X1_152386, skc25, X3_152387, X4_152388, X5_152389, X6_152390, skc23, X8_152391, X9_152392, X10_152393, X11_152394, X12_152395))
%   -(ssPv9_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_152435, V_152436, W_152437, X_152438, Y_152439, skc28, skc27, skc25, X3_152440, X4_152441, X5_152442, X6_152443, skc23, X8_152444, X9_152445, X10_152446, X11_152447))
%   -(ssPv9_12r1r1r1r1r1r1r1r1r1r1r1r1(U_152482, V_152483, W_152484, X_152485, Y_152486, skc28, skc27, skc25, X3_152487, X4_152488, X5_152489, X6_152490))
%   -(ssPv9_13r1r1r1r1r1r1r1r1r1r1r1r1r1(U_152526, V_152527, W_152528, X_152529, Y_152530, skc28, skc27, skc25, X3_152531, X4_152532, X5_152533, X6_152534, X7_152535))
%   -(ssPv9_14r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_152572, V_152573, W_152574, X_152575, Y_152576, skc28, skc27, skc25, X3_152577, X4_152578, X5_152579, X6_152580, X7_152581, X8_152582))
%   -(ssPv9_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_152620, V_152621, W_152622, X_152623, Y_152624, skc28, skc27, skc25, X3_152625, X4_152626, X5_152627, X6_152628, X7_152629, X8_152630, X9_152631))
%   -(ssPv6_14r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_152668, V_152669, W_152670, X_152671, Y_152672, skc28, skc27, skc25, X3_152673, X4_152674, X5_152675, X6_152676, X7_152677, skc21))
%   -(ssPv9_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_152716, V_152717, W_152718, X_152719, Y_152720, skc28, skc27, skc25, X3_152721, X4_152722, X5_152723, X6_152724, X7_152725, X8_152726, X9_152727, X10_152728))
%   +(ssPv11_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_152766, V_152767, W_152768, X_152769, Y_152770, skc28, skc27, skc25, X3_152771, X4_152772, X5_152773, X6_152774, X7_152775, skc21, skc18))
%   +(ssPv11_10r1r1r1r1r1r1r1r1r1r1(U_152808, V_152809, W_152810, X_152811, Y_152812, skc28, skc27, skc25, X3_152813, X4_152814))
%   +(ssPv11_11r1r1r1r1r1r1r1r1r1r1r1(U_152848, V_152849, W_152850, X_152851, Y_152852, skc28, skc27, skc25, X3_152853, X4_152854, X5_152855))
%   -(ssPv9_10r1r1r1r1r1r1r1r1r1r1(U_152888, V_152889, W_152890, X_152891, Y_152892, skc28, skc27, skc25, X3_152893, X4_152894))
%   +(ssPv11_12r1r1r1r1r1r1r1r1r1r1r1r1(U_152929, V_152930, W_152931, X_152932, Y_152933, skc28, skc27, skc25, X3_152934, X4_152935, X5_152936, X6_152937))
%   +(ssPv11_13r1r1r1r1r1r1r1r1r1r1r1r1r1(U_152973, V_152974, W_152975, X_152976, Y_152977, skc28, skc27, skc25, X3_152978, X4_152979, X5_152980, X6_152981, X7_152982))
%   +(ssPv11_14r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_153019, V_153020, W_153021, X_153022, Y_153023, skc28, skc27, skc25, X3_153024, X4_153025, X5_153026, X6_153027, X7_153028, X8_153029))
%   +(ssPv11_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_153067, V_153068, W_153069, X_153070, Y_153071, skc28, skc27, skc25, X3_153072, X4_153073, X5_153074, X6_153075, X7_153076, X8_153077, X9_153078))
%   +(ssPv11_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_153117, V_153118, W_153119, X_153120, Y_153121, skc28, skc27, skc25, X3_153122, X4_153123, X5_153124, X6_153125, X7_153126, X8_153127, X9_153128, X10_153129))
%   +(ssPv11_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_153169, V_153170, W_153171, X_153172, Y_153173, skc28, skc27, skc25, X3_153174, X4_153175, X5_153176, X6_153177, X7_153178, X8_153179, X9_153180, X10_153181, X11_153182))
%   -(ssPv9_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_153222, V_153223, W_153224, X_153225, Y_153226, skc28, skc27, skc25, X3_153227, X4_153228, X5_153229, X6_153230, X7_153231, X8_153232, X9_153233, X10_153234, X11_153235))
%   +(ssPv11_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_153276, V_153277, W_153278, X_153279, Y_153280, skc28, skc27, skc25, X3_153281, X4_153282, X5_153283, X6_153284, X7_153285, X8_153286, X9_153287, X10_153288, X11_153289, X12_153290))
%   -(ssPv9_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_153331, V_153332, W_153333, X_153334, Y_153335, skc28, skc27, skc25, X3_153336, X4_153337, X5_153338, X6_153339, X7_153340, X8_153341, X9_153342, X10_153343, X11_153344, X12_153345))
%   +(ssPv11_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_153387, V_153388, W_153389, X_153390, Y_153391, skc28, skc27, skc25, X3_153392, X4_153393, X5_153394, X6_153395, X7_153396, X8_153397, X9_153398, X10_153399, X11_153400, X12_153401, X13_153402))
%   -(ssPv9_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_153444, V_153445, W_153446, X_153447, Y_153448, skc28, skc27, skc25, X3_153449, X4_153450, X5_153451, X6_153452, X7_153453, X8_153454, X9_153455, X10_153456, X11_153457, X12_153458, X13_153459))
%   -(ssPv15_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_153499, V_153500, W_153501, X_153502, Y_153503, Z_153504, skc27, skc25, X3_153505, X4_153506, X5_153507, X6_153508, skc23, X8_153509, X9_153510, X10_153511, X11_153512))
%   -(ssPv15_6r1r1r1r1r1r1(U_153541, V_153542, W_153543, X_153544, Y_153545, Z_153546))
%   -(ssPv15_7r1r1r1r1r1r1r1(U_153576, V_153577, W_153578, X_153579, Y_153580, Z_153581, X1_153582))
%   -(ssPv15_8r1r1r1r1r1r1r1r1(U_153613, V_153614, W_153615, X_153616, Y_153617, Z_153618, X1_153619, X2_153620))
%   -(ssPv15_9r1r1r1r1r1r1r1r1r1(U_153652, V_153653, W_153654, X_153655, Y_153656, Z_153657, X1_153658, X2_153659, X3_153660))
%   -(ssPv15_10r1r1r1r1r1r1r1r1r1r1(U_153693, V_153694, W_153695, X_153696, Y_153697, Z_153698, X1_153699, X2_153700, X3_153701, X4_153702))
%   -(ssPv15_11r1r1r1r1r1r1r1r1r1r1r1(U_153736, V_153737, W_153738, X_153739, Y_153740, Z_153741, X1_153742, X2_153743, X3_153744, X4_153745, X5_153746))
%   -(ssPv15_12r1r1r1r1r1r1r1r1r1r1r1r1(U_153781, V_153782, W_153783, X_153784, Y_153785, Z_153786, X1_153787, X2_153788, X3_153789, X4_153790, X5_153791, X6_153792))
%   -(ssPv15_13r1r1r1r1r1r1r1r1r1r1r1r1r1(U_153828, V_153829, W_153830, X_153831, Y_153832, Z_153833, X1_153834, X2_153835, X3_153836, X4_153837, X5_153838, X6_153839, X7_153840))
%   -(ssPv15_14r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_153877, V_153878, W_153879, X_153880, Y_153881, Z_153882, X1_153883, X2_153884, X3_153885, X4_153886, X5_153887, X6_153888, X7_153889, X8_153890))
%   -(ssPv15_15r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_153928, V_153929, W_153930, X_153931, Y_153932, Z_153933, X1_153934, X2_153935, X3_153936, X4_153937, X5_153938, X6_153939, X7_153940, X8_153941, X9_153942))
%   -(ssPv15_16r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_153981, V_153982, W_153983, X_153984, Y_153985, Z_153986, X1_153987, X2_153988, X3_153989, X4_153990, X5_153991, X6_153992, X7_153993, X8_153994, X9_153995, X10_153996))
%   -(ssPv15_17r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_154036, V_154037, W_154038, X_154039, Y_154040, Z_154041, X1_154042, X2_154043, X3_154044, X4_154045, X5_154046, X6_154047, X7_154048, X8_154049, X9_154050, X10_154051, X11_154052))
%   -(ssPv15_18r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_154093, V_154094, W_154095, X_154096, Y_154097, Z_154098, X1_154099, X2_154100, X3_154101, X4_154102, X5_154103, X6_154104, X7_154105, X8_154106, X9_154107, X10_154108, X11_154109, X12_154110))
%   -(ssPv15_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_154152, V_154153, W_154154, X_154155, Y_154156, Z_154157, X1_154158, X2_154159, X3_154160, X4_154161, X5_154162, X6_154163, X7_154164, X8_154165, X9_154166, X10_154167, X11_154168, X12_154169, X13_154170))
%   -(ssPv4_19r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_154212, V_154213, W_154214, X_154215, Y_154216, Z_154217, X1_154218, skc25, X3_154219, X4_154220, X5_154221, X6_154222, skc23, X8_154223, X9_154224, X10_154225, X11_154226, X12_154227, X13_154228))
%   -(ssPv18_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_154271, V_154272, W_154273, X_154274, Y_154275, Z_154276, X1_154277, X2_154278, X3_154279, X4_154280, X5_154281, X6_154282, X7_154283, X8_154284, X9_154285, X10_154286, X11_154287, X12_154288, X13_154289, X14_154290))
%   -(ssPv15_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_154333, V_154334, W_154335, X_154336, Y_154337, Z_154338, X1_154339, X2_154340, X3_154341, X4_154342, X5_154343, X6_154344, X7_154345, X8_154346, X9_154347, X10_154348, X11_154349, X12_154350, X13_154351, X14_154352))
%   -(ssPv11_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_154395, V_154396, W_154397, X_154398, Y_154399, Z_154400, X1_154401, X2_154402, X3_154403, X4_154404, X5_154405, X6_154406, X7_154407, X8_154408, X9_154409, X10_154410, X11_154411, X12_154412, X13_154413, X14_154414))
%   +(ssPv11_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_154457, V_154458, W_154459, X_154460, Y_154461, skc28, skc27, skc25, X3_154462, X4_154463, X5_154464, X6_154465, X7_154466, X8_154467, X9_154468, X10_154469, X11_154470, X12_154471, X13_154472, X14_154473))
%   -(ssPv9_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_154516, V_154517, W_154518, X_154519, Y_154520, skc28, skc27, skc25, X3_154521, X4_154522, X5_154523, X6_154524, X7_154525, X8_154526, X9_154527, X10_154528, X11_154529, X12_154530, X13_154531, X14_154532))
%   -(ssPv4_20r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1r1(U_154575, V_154576, W_154577, X_154578, Y_154579, Z_154580, X1_154581, skc25, X3_154582, X4_154583, X5_154584, X6_154585, skc23, X8_154586, X9_154587, X10_154588, X11_154589, X12_154590, X13_154591, X14_154592))
% 
%------------------------------------------------------------------------------