TSTP Solution File: SYN479+1 by SnakeForV---1.0

View Problem - Process Solution

%------------------------------------------------------------------------------
% File     : SnakeForV---1.0
% Problem  : SYN479+1 : TPTP v8.1.0. Released v2.1.0.
% Transfm  : none
% Format   : tptp:raw
% Command  : vampire --input_syntax tptp --proof tptp --output_axiom_names on --mode portfolio --schedule snake_tptp_uns --cores 0 -t %d %s

% Computer : n016.cluster.edu
% Model    : x86_64 x86_64
% CPU      : Intel(R) Xeon(R) CPU E5-2620 v4 2.10GHz
% Memory   : 8042.1875MB
% OS       : Linux 3.10.0-693.el7.x86_64
% CPULimit : 300s
% WCLimit  : 300s
% DateTime : Wed Aug 31 19:27:08 EDT 2022

% Result   : Theorem 2.21s 0.64s
% Output   : Refutation 2.21s
% Verified : 
% SZS Type : Refutation
%            Derivation depth      :   10
%            Number of leaves      :  149
% Syntax   : Number of formulae    :  698 (   1 unt;   0 def)
%            Number of atoms       : 7618 (   0 equ)
%            Maximal formula atoms :  745 (  10 avg)
%            Number of connectives : 10310 (3390   ~;4895   |;1393   &)
%                                         ( 148 <=>; 484  =>;   0  <=;   0 <~>)
%            Maximal formula depth :  116 (   6 avg)
%            Maximal term depth    :    1 (   1 avg)
%            Number of predicates  :  185 ( 184 usr; 181 prp; 0-1 aty)
%            Number of functors    :   31 (  31 usr;  31 con; 0-0 aty)
%            Number of variables   : 1042 (1042   !;   0   ?)

% Comments : 
%------------------------------------------------------------------------------
fof(f2774,plain,
    $false,
    inference(avatar_sat_refutation,[],[f288,f297,f308,f317,f326,f337,f369,f374,f393,f405,f410,f415,f425,f434,f449,f458,f467,f468,f477,f482,f487,f492,f501,f509,f513,f520,f526,f541,f546,f550,f565,f574,f583,f588,f593,f602,f608,f617,f622,f627,f631,f637,f642,f643,f644,f652,f663,f664,f665,f675,f680,f681,f691,f707,f717,f718,f727,f734,f736,f741,f742,f743,f744,f749,f755,f766,f768,f769,f774,f779,f784,f785,f796,f801,f802,f803,f808,f819,f824,f829,f834,f835,f836,f845,f847,f852,f859,f864,f869,f874,f875,f880,f885,f886,f900,f906,f911,f916,f922,f929,f930,f939,f940,f945,f950,f956,f961,f968,f973,f980,f981,f986,f991,f998,f1003,f1009,f1014,f1018,f1023,f1024,f1029,f1034,f1039,f1044,f1045,f1048,f1146,f1153,f1171,f1187,f1208,f1377,f1457,f1458,f1468,f1489,f1491,f1492,f1516,f1517,f1525,f1543,f1557,f1617,f1621,f1623,f1633,f1663,f1719,f1720,f1802,f1822,f1865,f1866,f1867,f1868,f1883,f1903,f1904,f1906,f1934,f1943,f1983,f1987,f2022,f2027,f2037,f2065,f2068,f2073,f2098,f2104,f2129,f2130,f2163,f2187,f2199,f2240,f2241,f2242,f2259,f2293,f2300,f2303,f2334,f2336,f2338,f2420,f2437,f2446,f2482,f2495,f2516,f2517,f2528,f2529,f2531,f2553,f2557,f2561,f2567,f2568,f2585,f2626,f2639,f2652,f2681,f2712,f2716,f2726,f2727,f2731,f2733,f2757,f2759,f2760,f2767]) ).

fof(f2767,plain,
    ( ~ spl0_164
    | spl0_147
    | ~ spl0_28
    | ~ spl0_93 ),
    inference(avatar_split_clause,[],[f2742,f672,f364,f983,f1113]) ).

fof(f1113,plain,
    ( spl0_164
  <=> c3_1(a1431) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_164])]) ).

fof(f983,plain,
    ( spl0_147
  <=> c2_1(a1431) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_147])]) ).

fof(f364,plain,
    ( spl0_28
  <=> ! [X26] :
        ( ~ c1_1(X26)
        | c2_1(X26)
        | ~ c3_1(X26) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_28])]) ).

fof(f672,plain,
    ( spl0_93
  <=> c1_1(a1431) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_93])]) ).

fof(f2742,plain,
    ( c2_1(a1431)
    | ~ c3_1(a1431)
    | ~ spl0_28
    | ~ spl0_93 ),
    inference(resolution,[],[f365,f674]) ).

fof(f674,plain,
    ( c1_1(a1431)
    | ~ spl0_93 ),
    inference(avatar_component_clause,[],[f672]) ).

fof(f365,plain,
    ( ! [X26] :
        ( ~ c1_1(X26)
        | c2_1(X26)
        | ~ c3_1(X26) )
    | ~ spl0_28 ),
    inference(avatar_component_clause,[],[f364]) ).

fof(f2760,plain,
    ( spl0_67
    | ~ spl0_157
    | ~ spl0_28
    | ~ spl0_174 ),
    inference(avatar_split_clause,[],[f2741,f1300,f364,f1041,f543]) ).

fof(f543,plain,
    ( spl0_67
  <=> c2_1(a1430) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_67])]) ).

fof(f1041,plain,
    ( spl0_157
  <=> c3_1(a1430) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_157])]) ).

fof(f1300,plain,
    ( spl0_174
  <=> c1_1(a1430) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_174])]) ).

fof(f2741,plain,
    ( ~ c3_1(a1430)
    | c2_1(a1430)
    | ~ spl0_28
    | ~ spl0_174 ),
    inference(resolution,[],[f365,f1302]) ).

fof(f1302,plain,
    ( c1_1(a1430)
    | ~ spl0_174 ),
    inference(avatar_component_clause,[],[f1300]) ).

fof(f2759,plain,
    ( spl0_38
    | ~ spl0_143
    | ~ spl0_28
    | ~ spl0_63 ),
    inference(avatar_split_clause,[],[f2743,f523,f364,f958,f407]) ).

fof(f407,plain,
    ( spl0_38
  <=> c2_1(a1434) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_38])]) ).

fof(f958,plain,
    ( spl0_143
  <=> c3_1(a1434) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_143])]) ).

fof(f523,plain,
    ( spl0_63
  <=> c1_1(a1434) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_63])]) ).

fof(f2743,plain,
    ( ~ c3_1(a1434)
    | c2_1(a1434)
    | ~ spl0_28
    | ~ spl0_63 ),
    inference(resolution,[],[f365,f525]) ).

fof(f525,plain,
    ( c1_1(a1434)
    | ~ spl0_63 ),
    inference(avatar_component_clause,[],[f523]) ).

fof(f2757,plain,
    ( spl0_109
    | ~ spl0_176
    | ~ spl0_28
    | ~ spl0_142 ),
    inference(avatar_split_clause,[],[f2750,f953,f364,f1413,f771]) ).

fof(f771,plain,
    ( spl0_109
  <=> c2_1(a1458) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_109])]) ).

fof(f1413,plain,
    ( spl0_176
  <=> c3_1(a1458) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_176])]) ).

fof(f953,plain,
    ( spl0_142
  <=> c1_1(a1458) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_142])]) ).

fof(f2750,plain,
    ( ~ c3_1(a1458)
    | c2_1(a1458)
    | ~ spl0_28
    | ~ spl0_142 ),
    inference(resolution,[],[f365,f955]) ).

fof(f955,plain,
    ( c1_1(a1458)
    | ~ spl0_142 ),
    inference(avatar_component_clause,[],[f953]) ).

fof(f2733,plain,
    ( ~ spl0_72
    | spl0_180
    | ~ spl0_14
    | ~ spl0_156 ),
    inference(avatar_split_clause,[],[f2732,f1036,f306,f1589,f567]) ).

fof(f567,plain,
    ( spl0_72
  <=> c2_1(a1449) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_72])]) ).

fof(f1589,plain,
    ( spl0_180
  <=> c0_1(a1449) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_180])]) ).

fof(f306,plain,
    ( spl0_14
  <=> ! [X39] :
        ( ~ c2_1(X39)
        | c0_1(X39)
        | ~ c1_1(X39) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_14])]) ).

fof(f1036,plain,
    ( spl0_156
  <=> c1_1(a1449) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_156])]) ).

fof(f2732,plain,
    ( c0_1(a1449)
    | ~ c2_1(a1449)
    | ~ spl0_14
    | ~ spl0_156 ),
    inference(resolution,[],[f1038,f307]) ).

fof(f307,plain,
    ( ! [X39] :
        ( ~ c1_1(X39)
        | c0_1(X39)
        | ~ c2_1(X39) )
    | ~ spl0_14 ),
    inference(avatar_component_clause,[],[f306]) ).

fof(f1038,plain,
    ( c1_1(a1449)
    | ~ spl0_156 ),
    inference(avatar_component_clause,[],[f1036]) ).

fof(f2731,plain,
    ( ~ spl0_172
    | spl0_129
    | ~ spl0_14
    | ~ spl0_125 ),
    inference(avatar_split_clause,[],[f2730,f861,f306,f882,f1277]) ).

fof(f1277,plain,
    ( spl0_172
  <=> c2_1(a1454) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_172])]) ).

fof(f882,plain,
    ( spl0_129
  <=> c0_1(a1454) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_129])]) ).

fof(f861,plain,
    ( spl0_125
  <=> c1_1(a1454) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_125])]) ).

fof(f2730,plain,
    ( c0_1(a1454)
    | ~ c2_1(a1454)
    | ~ spl0_14
    | ~ spl0_125 ),
    inference(resolution,[],[f863,f307]) ).

fof(f863,plain,
    ( c1_1(a1454)
    | ~ spl0_125 ),
    inference(avatar_component_clause,[],[f861]) ).

fof(f2727,plain,
    ( spl0_158
    | spl0_83
    | ~ spl0_20
    | ~ spl0_80 ),
    inference(avatar_split_clause,[],[f2724,f605,f332,f619,f1052]) ).

fof(f1052,plain,
    ( spl0_158
  <=> c2_1(a1445) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_158])]) ).

fof(f619,plain,
    ( spl0_83
  <=> c1_1(a1445) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_83])]) ).

fof(f332,plain,
    ( spl0_20
  <=> ! [X48] :
        ( c1_1(X48)
        | ~ c0_1(X48)
        | c2_1(X48) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_20])]) ).

fof(f605,plain,
    ( spl0_80
  <=> c0_1(a1445) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_80])]) ).

fof(f2724,plain,
    ( c1_1(a1445)
    | c2_1(a1445)
    | ~ spl0_20
    | ~ spl0_80 ),
    inference(resolution,[],[f607,f333]) ).

fof(f333,plain,
    ( ! [X48] :
        ( ~ c0_1(X48)
        | c2_1(X48)
        | c1_1(X48) )
    | ~ spl0_20 ),
    inference(avatar_component_clause,[],[f332]) ).

fof(f607,plain,
    ( c0_1(a1445)
    | ~ spl0_80 ),
    inference(avatar_component_clause,[],[f605]) ).

fof(f2726,plain,
    ( spl0_158
    | spl0_70
    | ~ spl0_5
    | ~ spl0_80 ),
    inference(avatar_split_clause,[],[f2725,f605,f271,f558,f1052]) ).

fof(f558,plain,
    ( spl0_70
  <=> c3_1(a1445) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_70])]) ).

fof(f271,plain,
    ( spl0_5
  <=> ! [X58] :
        ( ~ c0_1(X58)
        | c2_1(X58)
        | c3_1(X58) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_5])]) ).

fof(f2725,plain,
    ( c3_1(a1445)
    | c2_1(a1445)
    | ~ spl0_5
    | ~ spl0_80 ),
    inference(resolution,[],[f607,f272]) ).

fof(f272,plain,
    ( ! [X58] :
        ( ~ c0_1(X58)
        | c2_1(X58)
        | c3_1(X58) )
    | ~ spl0_5 ),
    inference(avatar_component_clause,[],[f271]) ).

fof(f2716,plain,
    ( ~ spl0_102
    | spl0_176
    | ~ spl0_61
    | ~ spl0_142 ),
    inference(avatar_split_clause,[],[f2696,f953,f511,f1413,f720]) ).

fof(f720,plain,
    ( spl0_102
  <=> c0_1(a1458) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_102])]) ).

fof(f511,plain,
    ( spl0_61
  <=> ! [X15] :
        ( c3_1(X15)
        | ~ c0_1(X15)
        | ~ c1_1(X15) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_61])]) ).

fof(f2696,plain,
    ( c3_1(a1458)
    | ~ c0_1(a1458)
    | ~ spl0_61
    | ~ spl0_142 ),
    inference(resolution,[],[f512,f955]) ).

fof(f512,plain,
    ( ! [X15] :
        ( ~ c1_1(X15)
        | c3_1(X15)
        | ~ c0_1(X15) )
    | ~ spl0_61 ),
    inference(avatar_component_clause,[],[f511]) ).

fof(f2712,plain,
    ( spl0_151
    | ~ spl0_106
    | ~ spl0_61
    | ~ spl0_182 ),
    inference(avatar_split_clause,[],[f2688,f1641,f511,f752,f1006]) ).

fof(f1006,plain,
    ( spl0_151
  <=> c3_1(a1427) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_151])]) ).

fof(f752,plain,
    ( spl0_106
  <=> c0_1(a1427) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_106])]) ).

fof(f1641,plain,
    ( spl0_182
  <=> c1_1(a1427) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_182])]) ).

fof(f2688,plain,
    ( ~ c0_1(a1427)
    | c3_1(a1427)
    | ~ spl0_61
    | ~ spl0_182 ),
    inference(resolution,[],[f512,f1643]) ).

fof(f1643,plain,
    ( c1_1(a1427)
    | ~ spl0_182 ),
    inference(avatar_component_clause,[],[f1641]) ).

fof(f2681,plain,
    ( spl0_101
    | spl0_110
    | ~ spl0_42
    | ~ spl0_133 ),
    inference(avatar_split_clause,[],[f2672,f903,f423,f776,f714]) ).

fof(f714,plain,
    ( spl0_101
  <=> c1_1(a1477) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_101])]) ).

fof(f776,plain,
    ( spl0_110
  <=> c0_1(a1477) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_110])]) ).

fof(f423,plain,
    ( spl0_42
  <=> ! [X100] :
        ( c0_1(X100)
        | c1_1(X100)
        | ~ c2_1(X100) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_42])]) ).

fof(f903,plain,
    ( spl0_133
  <=> c2_1(a1477) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_133])]) ).

fof(f2672,plain,
    ( c0_1(a1477)
    | c1_1(a1477)
    | ~ spl0_42
    | ~ spl0_133 ),
    inference(resolution,[],[f424,f905]) ).

fof(f905,plain,
    ( c2_1(a1477)
    | ~ spl0_133 ),
    inference(avatar_component_clause,[],[f903]) ).

fof(f424,plain,
    ( ! [X100] :
        ( ~ c2_1(X100)
        | c1_1(X100)
        | c0_1(X100) )
    | ~ spl0_42 ),
    inference(avatar_component_clause,[],[f423]) ).

fof(f2652,plain,
    ( spl0_173
    | spl0_54
    | ~ spl0_20
    | ~ spl0_153 ),
    inference(avatar_split_clause,[],[f2641,f1020,f332,f479,f1286]) ).

fof(f1286,plain,
    ( spl0_173
  <=> c1_1(a1448) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_173])]) ).

fof(f479,plain,
    ( spl0_54
  <=> c2_1(a1448) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_54])]) ).

fof(f1020,plain,
    ( spl0_153
  <=> c0_1(a1448) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_153])]) ).

fof(f2641,plain,
    ( c2_1(a1448)
    | c1_1(a1448)
    | ~ spl0_20
    | ~ spl0_153 ),
    inference(resolution,[],[f333,f1022]) ).

fof(f1022,plain,
    ( c0_1(a1448)
    | ~ spl0_153 ),
    inference(avatar_component_clause,[],[f1020]) ).

fof(f2639,plain,
    ( spl0_44
    | ~ spl0_152
    | ~ spl0_14
    | ~ spl0_168 ),
    inference(avatar_split_clause,[],[f2609,f1210,f306,f1011,f431]) ).

fof(f431,plain,
    ( spl0_44
  <=> c0_1(a1429) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_44])]) ).

fof(f1011,plain,
    ( spl0_152
  <=> c2_1(a1429) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_152])]) ).

fof(f1210,plain,
    ( spl0_168
  <=> c1_1(a1429) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_168])]) ).

fof(f2609,plain,
    ( ~ c2_1(a1429)
    | c0_1(a1429)
    | ~ spl0_14
    | ~ spl0_168 ),
    inference(resolution,[],[f307,f1212]) ).

fof(f1212,plain,
    ( c1_1(a1429)
    | ~ spl0_168 ),
    inference(avatar_component_clause,[],[f1210]) ).

fof(f2626,plain,
    ( spl0_11
    | ~ spl0_91
    | ~ spl0_14
    | ~ spl0_144 ),
    inference(avatar_split_clause,[],[f2619,f965,f306,f660,f294]) ).

fof(f294,plain,
    ( spl0_11
  <=> c0_1(a1504) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_11])]) ).

fof(f660,plain,
    ( spl0_91
  <=> c2_1(a1504) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_91])]) ).

fof(f965,plain,
    ( spl0_144
  <=> c1_1(a1504) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_144])]) ).

fof(f2619,plain,
    ( ~ c2_1(a1504)
    | c0_1(a1504)
    | ~ spl0_14
    | ~ spl0_144 ),
    inference(resolution,[],[f307,f967]) ).

fof(f967,plain,
    ( c1_1(a1504)
    | ~ spl0_144 ),
    inference(avatar_component_clause,[],[f965]) ).

fof(f2585,plain,
    ( spl0_182
    | spl0_151
    | ~ spl0_13
    | ~ spl0_106 ),
    inference(avatar_split_clause,[],[f2582,f752,f303,f1006,f1641]) ).

fof(f303,plain,
    ( spl0_13
  <=> ! [X40] :
        ( c1_1(X40)
        | ~ c0_1(X40)
        | c3_1(X40) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_13])]) ).

fof(f2582,plain,
    ( c3_1(a1427)
    | c1_1(a1427)
    | ~ spl0_13
    | ~ spl0_106 ),
    inference(resolution,[],[f754,f304]) ).

fof(f304,plain,
    ( ! [X40] :
        ( ~ c0_1(X40)
        | c1_1(X40)
        | c3_1(X40) )
    | ~ spl0_13 ),
    inference(avatar_component_clause,[],[f303]) ).

fof(f754,plain,
    ( c0_1(a1427)
    | ~ spl0_106 ),
    inference(avatar_component_clause,[],[f752]) ).

fof(f2568,plain,
    ( ~ spl0_157
    | spl0_141
    | ~ spl0_52
    | ~ spl0_174 ),
    inference(avatar_split_clause,[],[f2538,f1300,f472,f947,f1041]) ).

fof(f947,plain,
    ( spl0_141
  <=> c0_1(a1430) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_141])]) ).

fof(f472,plain,
    ( spl0_52
  <=> ! [X54] :
        ( ~ c3_1(X54)
        | c0_1(X54)
        | ~ c1_1(X54) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_52])]) ).

fof(f2538,plain,
    ( c0_1(a1430)
    | ~ c3_1(a1430)
    | ~ spl0_52
    | ~ spl0_174 ),
    inference(resolution,[],[f473,f1302]) ).

fof(f473,plain,
    ( ! [X54] :
        ( ~ c1_1(X54)
        | ~ c3_1(X54)
        | c0_1(X54) )
    | ~ spl0_52 ),
    inference(avatar_component_clause,[],[f472]) ).

fof(f2567,plain,
    ( spl0_86
    | ~ spl0_164
    | ~ spl0_52
    | ~ spl0_93 ),
    inference(avatar_split_clause,[],[f2539,f672,f472,f1113,f634]) ).

fof(f634,plain,
    ( spl0_86
  <=> c0_1(a1431) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_86])]) ).

fof(f2539,plain,
    ( ~ c3_1(a1431)
    | c0_1(a1431)
    | ~ spl0_52
    | ~ spl0_93 ),
    inference(resolution,[],[f473,f674]) ).

fof(f2561,plain,
    ( spl0_11
    | ~ spl0_181
    | ~ spl0_52
    | ~ spl0_144 ),
    inference(avatar_split_clause,[],[f2550,f965,f472,f1629,f294]) ).

fof(f1629,plain,
    ( spl0_181
  <=> c3_1(a1504) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_181])]) ).

fof(f2550,plain,
    ( ~ c3_1(a1504)
    | c0_1(a1504)
    | ~ spl0_52
    | ~ spl0_144 ),
    inference(resolution,[],[f473,f967]) ).

fof(f2557,plain,
    ( ~ spl0_132
    | spl0_44
    | ~ spl0_52
    | ~ spl0_168 ),
    inference(avatar_split_clause,[],[f2537,f1210,f472,f431,f897]) ).

fof(f897,plain,
    ( spl0_132
  <=> c3_1(a1429) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_132])]) ).

fof(f2537,plain,
    ( c0_1(a1429)
    | ~ c3_1(a1429)
    | ~ spl0_52
    | ~ spl0_168 ),
    inference(resolution,[],[f473,f1212]) ).

fof(f2553,plain,
    ( ~ spl0_120
    | spl0_56
    | ~ spl0_50
    | ~ spl0_52 ),
    inference(avatar_split_clause,[],[f2548,f472,f460,f489,f831]) ).

fof(f831,plain,
    ( spl0_120
  <=> c3_1(a1468) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_120])]) ).

fof(f489,plain,
    ( spl0_56
  <=> c0_1(a1468) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_56])]) ).

fof(f460,plain,
    ( spl0_50
  <=> c1_1(a1468) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_50])]) ).

fof(f2548,plain,
    ( c0_1(a1468)
    | ~ c3_1(a1468)
    | ~ spl0_50
    | ~ spl0_52 ),
    inference(resolution,[],[f473,f462]) ).

fof(f462,plain,
    ( c1_1(a1468)
    | ~ spl0_50 ),
    inference(avatar_component_clause,[],[f460]) ).

fof(f2531,plain,
    ( spl0_151
    | ~ spl0_106
    | ~ spl0_21
    | ~ spl0_155 ),
    inference(avatar_split_clause,[],[f2520,f1031,f335,f752,f1006]) ).

fof(f335,plain,
    ( spl0_21
  <=> ! [X47] :
        ( c3_1(X47)
        | ~ c0_1(X47)
        | ~ c2_1(X47) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_21])]) ).

fof(f1031,plain,
    ( spl0_155
  <=> c2_1(a1427) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_155])]) ).

fof(f2520,plain,
    ( ~ c0_1(a1427)
    | c3_1(a1427)
    | ~ spl0_21
    | ~ spl0_155 ),
    inference(resolution,[],[f336,f1033]) ).

fof(f1033,plain,
    ( c2_1(a1427)
    | ~ spl0_155 ),
    inference(avatar_component_clause,[],[f1031]) ).

fof(f336,plain,
    ( ! [X47] :
        ( ~ c2_1(X47)
        | c3_1(X47)
        | ~ c0_1(X47) )
    | ~ spl0_21 ),
    inference(avatar_component_clause,[],[f335]) ).

fof(f2529,plain,
    ( ~ spl0_166
    | spl0_47
    | ~ spl0_21
    | ~ spl0_77 ),
    inference(avatar_split_clause,[],[f2522,f590,f335,f446,f1155]) ).

fof(f1155,plain,
    ( spl0_166
  <=> c0_1(a1451) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_166])]) ).

fof(f446,plain,
    ( spl0_47
  <=> c3_1(a1451) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_47])]) ).

fof(f590,plain,
    ( spl0_77
  <=> c2_1(a1451) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_77])]) ).

fof(f2522,plain,
    ( c3_1(a1451)
    | ~ c0_1(a1451)
    | ~ spl0_21
    | ~ spl0_77 ),
    inference(resolution,[],[f336,f592]) ).

fof(f592,plain,
    ( c2_1(a1451)
    | ~ spl0_77 ),
    inference(avatar_component_clause,[],[f590]) ).

fof(f2528,plain,
    ( ~ spl0_16
    | spl0_135
    | ~ spl0_21
    | ~ spl0_159 ),
    inference(avatar_split_clause,[],[f2523,f1057,f335,f913,f314]) ).

fof(f314,plain,
    ( spl0_16
  <=> c0_1(a1452) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_16])]) ).

fof(f913,plain,
    ( spl0_135
  <=> c3_1(a1452) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_135])]) ).

fof(f1057,plain,
    ( spl0_159
  <=> c2_1(a1452) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_159])]) ).

fof(f2523,plain,
    ( c3_1(a1452)
    | ~ c0_1(a1452)
    | ~ spl0_21
    | ~ spl0_159 ),
    inference(resolution,[],[f336,f1059]) ).

fof(f1059,plain,
    ( c2_1(a1452)
    | ~ spl0_159 ),
    inference(avatar_component_clause,[],[f1057]) ).

fof(f2517,plain,
    ( spl0_185
    | spl0_57
    | ~ spl0_13
    | ~ spl0_113 ),
    inference(avatar_split_clause,[],[f2510,f793,f303,f494,f1816]) ).

fof(f1816,plain,
    ( spl0_185
  <=> c3_1(a1466) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_185])]) ).

fof(f494,plain,
    ( spl0_57
  <=> c1_1(a1466) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_57])]) ).

fof(f793,plain,
    ( spl0_113
  <=> c0_1(a1466) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_113])]) ).

fof(f2510,plain,
    ( c1_1(a1466)
    | c3_1(a1466)
    | ~ spl0_13
    | ~ spl0_113 ),
    inference(resolution,[],[f304,f795]) ).

fof(f795,plain,
    ( c0_1(a1466)
    | ~ spl0_113 ),
    inference(avatar_component_clause,[],[f793]) ).

fof(f2516,plain,
    ( spl0_83
    | spl0_70
    | ~ spl0_13
    | ~ spl0_80 ),
    inference(avatar_split_clause,[],[f2508,f605,f303,f558,f619]) ).

fof(f2508,plain,
    ( c3_1(a1445)
    | c1_1(a1445)
    | ~ spl0_13
    | ~ spl0_80 ),
    inference(resolution,[],[f304,f607]) ).

fof(f2495,plain,
    ( spl0_17
    | spl0_172
    | ~ spl0_37
    | ~ spl0_125 ),
    inference(avatar_split_clause,[],[f2493,f861,f403,f1277,f319]) ).

fof(f319,plain,
    ( spl0_17
  <=> c3_1(a1454) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_17])]) ).

fof(f403,plain,
    ( spl0_37
  <=> ! [X102] :
        ( c3_1(X102)
        | c2_1(X102)
        | ~ c1_1(X102) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_37])]) ).

fof(f2493,plain,
    ( c2_1(a1454)
    | c3_1(a1454)
    | ~ spl0_37
    | ~ spl0_125 ),
    inference(resolution,[],[f863,f404]) ).

fof(f404,plain,
    ( ! [X102] :
        ( ~ c1_1(X102)
        | c3_1(X102)
        | c2_1(X102) )
    | ~ spl0_37 ),
    inference(avatar_component_clause,[],[f403]) ).

fof(f2482,plain,
    ( spl0_146
    | ~ spl0_37
    | spl0_55
    | ~ spl0_82 ),
    inference(avatar_split_clause,[],[f2458,f615,f484,f403,f977]) ).

fof(f977,plain,
    ( spl0_146
  <=> c2_1(a1447) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_146])]) ).

fof(f484,plain,
    ( spl0_55
  <=> c3_1(a1447) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_55])]) ).

fof(f615,plain,
    ( spl0_82
  <=> ! [X115] :
        ( c3_1(X115)
        | c1_1(X115)
        | c2_1(X115) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_82])]) ).

fof(f2458,plain,
    ( c2_1(a1447)
    | ~ spl0_37
    | spl0_55
    | ~ spl0_82 ),
    inference(resolution,[],[f2417,f486]) ).

fof(f486,plain,
    ( ~ c3_1(a1447)
    | spl0_55 ),
    inference(avatar_component_clause,[],[f484]) ).

fof(f2417,plain,
    ( ! [X1] :
        ( c3_1(X1)
        | c2_1(X1) )
    | ~ spl0_37
    | ~ spl0_82 ),
    inference(duplicate_literal_removal,[],[f2408]) ).

fof(f2408,plain,
    ( ! [X1] :
        ( c2_1(X1)
        | c2_1(X1)
        | c3_1(X1)
        | c3_1(X1) )
    | ~ spl0_37
    | ~ spl0_82 ),
    inference(resolution,[],[f616,f404]) ).

fof(f616,plain,
    ( ! [X115] :
        ( c1_1(X115)
        | c2_1(X115)
        | c3_1(X115) )
    | ~ spl0_82 ),
    inference(avatar_component_clause,[],[f615]) ).

fof(f2446,plain,
    ( ~ spl0_128
    | ~ spl0_113
    | ~ spl0_40
    | ~ spl0_185 ),
    inference(avatar_split_clause,[],[f2444,f1816,f417,f793,f877]) ).

fof(f877,plain,
    ( spl0_128
  <=> c2_1(a1466) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_128])]) ).

fof(f417,plain,
    ( spl0_40
  <=> ! [X98] :
        ( ~ c0_1(X98)
        | ~ c2_1(X98)
        | ~ c3_1(X98) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_40])]) ).

fof(f2444,plain,
    ( ~ c0_1(a1466)
    | ~ c2_1(a1466)
    | ~ spl0_40
    | ~ spl0_185 ),
    inference(resolution,[],[f1818,f418]) ).

fof(f418,plain,
    ( ! [X98] :
        ( ~ c3_1(X98)
        | ~ c0_1(X98)
        | ~ c2_1(X98) )
    | ~ spl0_40 ),
    inference(avatar_component_clause,[],[f417]) ).

fof(f1818,plain,
    ( c3_1(a1466)
    | ~ spl0_185 ),
    inference(avatar_component_clause,[],[f1816]) ).

fof(f2437,plain,
    ( ~ spl0_91
    | spl0_11
    | ~ spl0_29
    | ~ spl0_181 ),
    inference(avatar_split_clause,[],[f2431,f1629,f367,f294,f660]) ).

fof(f367,plain,
    ( spl0_29
  <=> ! [X27] :
        ( c0_1(X27)
        | ~ c3_1(X27)
        | ~ c2_1(X27) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_29])]) ).

fof(f2431,plain,
    ( c0_1(a1504)
    | ~ c2_1(a1504)
    | ~ spl0_29
    | ~ spl0_181 ),
    inference(resolution,[],[f1631,f368]) ).

fof(f368,plain,
    ( ! [X27] :
        ( ~ c3_1(X27)
        | c0_1(X27)
        | ~ c2_1(X27) )
    | ~ spl0_29 ),
    inference(avatar_component_clause,[],[f367]) ).

fof(f1631,plain,
    ( c3_1(a1504)
    | ~ spl0_181 ),
    inference(avatar_component_clause,[],[f1629]) ).

fof(f2420,plain,
    ( spl0_94
    | spl0_179
    | ~ spl0_82
    | spl0_150 ),
    inference(avatar_split_clause,[],[f2413,f1000,f615,f1496,f677]) ).

fof(f677,plain,
    ( spl0_94
  <=> c3_1(a1460) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_94])]) ).

fof(f1496,plain,
    ( spl0_179
  <=> c2_1(a1460) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_179])]) ).

fof(f1000,plain,
    ( spl0_150
  <=> c1_1(a1460) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_150])]) ).

fof(f2413,plain,
    ( c2_1(a1460)
    | c3_1(a1460)
    | ~ spl0_82
    | spl0_150 ),
    inference(resolution,[],[f616,f1002]) ).

fof(f1002,plain,
    ( ~ c1_1(a1460)
    | spl0_150 ),
    inference(avatar_component_clause,[],[f1000]) ).

fof(f2338,plain,
    ( ~ spl0_152
    | spl0_44
    | ~ spl0_29
    | ~ spl0_132 ),
    inference(avatar_split_clause,[],[f2312,f897,f367,f431,f1011]) ).

fof(f2312,plain,
    ( c0_1(a1429)
    | ~ c2_1(a1429)
    | ~ spl0_29
    | ~ spl0_132 ),
    inference(resolution,[],[f368,f899]) ).

fof(f899,plain,
    ( c3_1(a1429)
    | ~ spl0_132 ),
    inference(avatar_component_clause,[],[f897]) ).

fof(f2336,plain,
    ( ~ spl0_167
    | spl0_117
    | ~ spl0_29
    | ~ spl0_114 ),
    inference(avatar_split_clause,[],[f2317,f798,f367,f816,f1180]) ).

fof(f1180,plain,
    ( spl0_167
  <=> c2_1(a1441) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_167])]) ).

fof(f816,plain,
    ( spl0_117
  <=> c0_1(a1441) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_117])]) ).

fof(f798,plain,
    ( spl0_114
  <=> c3_1(a1441) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_114])]) ).

fof(f2317,plain,
    ( c0_1(a1441)
    | ~ c2_1(a1441)
    | ~ spl0_29
    | ~ spl0_114 ),
    inference(resolution,[],[f368,f800]) ).

fof(f800,plain,
    ( c3_1(a1441)
    | ~ spl0_114 ),
    inference(avatar_component_clause,[],[f798]) ).

fof(f2334,plain,
    ( ~ spl0_161
    | spl0_145
    | ~ spl0_29
    | ~ spl0_39 ),
    inference(avatar_split_clause,[],[f2316,f412,f367,f970,f1072]) ).

fof(f1072,plain,
    ( spl0_161
  <=> c2_1(a1438) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_161])]) ).

fof(f970,plain,
    ( spl0_145
  <=> c0_1(a1438) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_145])]) ).

fof(f412,plain,
    ( spl0_39
  <=> c3_1(a1438) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_39])]) ).

fof(f2316,plain,
    ( c0_1(a1438)
    | ~ c2_1(a1438)
    | ~ spl0_29
    | ~ spl0_39 ),
    inference(resolution,[],[f368,f414]) ).

fof(f414,plain,
    ( c3_1(a1438)
    | ~ spl0_39 ),
    inference(avatar_component_clause,[],[f412]) ).

fof(f2303,plain,
    ( spl0_105
    | spl0_150
    | ~ spl0_42
    | ~ spl0_179 ),
    inference(avatar_split_clause,[],[f2302,f1496,f423,f1000,f738]) ).

fof(f738,plain,
    ( spl0_105
  <=> c0_1(a1460) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_105])]) ).

fof(f2302,plain,
    ( c1_1(a1460)
    | c0_1(a1460)
    | ~ spl0_42
    | ~ spl0_179 ),
    inference(resolution,[],[f1498,f424]) ).

fof(f1498,plain,
    ( c2_1(a1460)
    | ~ spl0_179 ),
    inference(avatar_component_clause,[],[f1496]) ).

fof(f2300,plain,
    ( spl0_79
    | spl0_87
    | ~ spl0_7
    | ~ spl0_178 ),
    inference(avatar_split_clause,[],[f2297,f1465,f279,f639,f599]) ).

fof(f599,plain,
    ( spl0_79
  <=> c0_1(a1457) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_79])]) ).

fof(f639,plain,
    ( spl0_87
  <=> c1_1(a1457) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_87])]) ).

fof(f279,plain,
    ( spl0_7
  <=> ! [X11] :
        ( c0_1(X11)
        | ~ c3_1(X11)
        | c1_1(X11) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_7])]) ).

fof(f1465,plain,
    ( spl0_178
  <=> c3_1(a1457) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_178])]) ).

fof(f2297,plain,
    ( c1_1(a1457)
    | c0_1(a1457)
    | ~ spl0_7
    | ~ spl0_178 ),
    inference(resolution,[],[f1467,f280]) ).

fof(f280,plain,
    ( ! [X11] :
        ( ~ c3_1(X11)
        | c0_1(X11)
        | c1_1(X11) )
    | ~ spl0_7 ),
    inference(avatar_component_clause,[],[f279]) ).

fof(f1467,plain,
    ( c3_1(a1457)
    | ~ spl0_178 ),
    inference(avatar_component_clause,[],[f1465]) ).

fof(f2293,plain,
    ( spl0_158
    | spl0_83
    | ~ spl0_20
    | ~ spl0_80 ),
    inference(avatar_split_clause,[],[f2277,f605,f332,f619,f1052]) ).

fof(f2277,plain,
    ( c1_1(a1445)
    | c2_1(a1445)
    | ~ spl0_20
    | ~ spl0_80 ),
    inference(resolution,[],[f333,f607]) ).

fof(f2259,plain,
    ( ~ spl0_102
    | spl0_109
    | ~ spl0_8
    | ~ spl0_176 ),
    inference(avatar_split_clause,[],[f2254,f1413,f282,f771,f720]) ).

fof(f282,plain,
    ( spl0_8
  <=> ! [X12] :
        ( c2_1(X12)
        | ~ c3_1(X12)
        | ~ c0_1(X12) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_8])]) ).

fof(f2254,plain,
    ( c2_1(a1458)
    | ~ c0_1(a1458)
    | ~ spl0_8
    | ~ spl0_176 ),
    inference(resolution,[],[f1415,f283]) ).

fof(f283,plain,
    ( ! [X12] :
        ( ~ c3_1(X12)
        | ~ c0_1(X12)
        | c2_1(X12) )
    | ~ spl0_8 ),
    inference(avatar_component_clause,[],[f282]) ).

fof(f1415,plain,
    ( c3_1(a1458)
    | ~ spl0_176 ),
    inference(avatar_component_clause,[],[f1413]) ).

fof(f2242,plain,
    ( spl0_177
    | ~ spl0_111
    | ~ spl0_8
    | ~ spl0_154 ),
    inference(avatar_split_clause,[],[f2228,f1026,f282,f781,f1429]) ).

fof(f1429,plain,
    ( spl0_177
  <=> c2_1(a1456) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_177])]) ).

fof(f781,plain,
    ( spl0_111
  <=> c0_1(a1456) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_111])]) ).

fof(f1026,plain,
    ( spl0_154
  <=> c3_1(a1456) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_154])]) ).

fof(f2228,plain,
    ( ~ c0_1(a1456)
    | c2_1(a1456)
    | ~ spl0_8
    | ~ spl0_154 ),
    inference(resolution,[],[f283,f1028]) ).

fof(f1028,plain,
    ( c3_1(a1456)
    | ~ spl0_154 ),
    inference(avatar_component_clause,[],[f1026]) ).

fof(f2241,plain,
    ( spl0_167
    | ~ spl0_117
    | ~ spl0_8
    | ~ spl0_114 ),
    inference(avatar_split_clause,[],[f2219,f798,f282,f816,f1180]) ).

fof(f2219,plain,
    ( ~ c0_1(a1441)
    | c2_1(a1441)
    | ~ spl0_8
    | ~ spl0_114 ),
    inference(resolution,[],[f283,f800]) ).

fof(f2240,plain,
    ( spl0_54
    | ~ spl0_153
    | ~ spl0_8
    | ~ spl0_30 ),
    inference(avatar_split_clause,[],[f2221,f371,f282,f1020,f479]) ).

fof(f371,plain,
    ( spl0_30
  <=> c3_1(a1448) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_30])]) ).

fof(f2221,plain,
    ( ~ c0_1(a1448)
    | c2_1(a1448)
    | ~ spl0_8
    | ~ spl0_30 ),
    inference(resolution,[],[f283,f373]) ).

fof(f373,plain,
    ( c3_1(a1448)
    | ~ spl0_30 ),
    inference(avatar_component_clause,[],[f371]) ).

fof(f2199,plain,
    ( spl0_145
    | spl0_115
    | ~ spl0_7
    | ~ spl0_39 ),
    inference(avatar_split_clause,[],[f2196,f412,f279,f805,f970]) ).

fof(f805,plain,
    ( spl0_115
  <=> c1_1(a1438) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_115])]) ).

fof(f2196,plain,
    ( c1_1(a1438)
    | c0_1(a1438)
    | ~ spl0_7
    | ~ spl0_39 ),
    inference(resolution,[],[f414,f280]) ).

fof(f2187,plain,
    ( spl0_145
    | spl0_115
    | ~ spl0_42
    | ~ spl0_161 ),
    inference(avatar_split_clause,[],[f2186,f1072,f423,f805,f970]) ).

fof(f2186,plain,
    ( c1_1(a1438)
    | c0_1(a1438)
    | ~ spl0_42
    | ~ spl0_161 ),
    inference(resolution,[],[f1074,f424]) ).

fof(f1074,plain,
    ( c2_1(a1438)
    | ~ spl0_161 ),
    inference(avatar_component_clause,[],[f1072]) ).

fof(f2163,plain,
    ( spl0_76
    | spl0_166
    | ~ spl0_42
    | ~ spl0_77 ),
    inference(avatar_split_clause,[],[f2162,f590,f423,f1155,f585]) ).

fof(f585,plain,
    ( spl0_76
  <=> c1_1(a1451) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_76])]) ).

fof(f2162,plain,
    ( c0_1(a1451)
    | c1_1(a1451)
    | ~ spl0_42
    | ~ spl0_77 ),
    inference(resolution,[],[f592,f424]) ).

fof(f2130,plain,
    ( ~ spl0_180
    | spl0_149
    | ~ spl0_61
    | ~ spl0_156 ),
    inference(avatar_split_clause,[],[f2119,f1036,f511,f995,f1589]) ).

fof(f995,plain,
    ( spl0_149
  <=> c3_1(a1449) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_149])]) ).

fof(f2119,plain,
    ( c3_1(a1449)
    | ~ c0_1(a1449)
    | ~ spl0_61
    | ~ spl0_156 ),
    inference(resolution,[],[f512,f1038]) ).

fof(f2129,plain,
    ( ~ spl0_16
    | spl0_135
    | ~ spl0_61
    | ~ spl0_119 ),
    inference(avatar_split_clause,[],[f2120,f826,f511,f913,f314]) ).

fof(f826,plain,
    ( spl0_119
  <=> c1_1(a1452) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_119])]) ).

fof(f2120,plain,
    ( c3_1(a1452)
    | ~ c0_1(a1452)
    | ~ spl0_61
    | ~ spl0_119 ),
    inference(resolution,[],[f512,f828]) ).

fof(f828,plain,
    ( c1_1(a1452)
    | ~ spl0_119 ),
    inference(avatar_component_clause,[],[f826]) ).

fof(f2104,plain,
    ( spl0_109
    | ~ spl0_102
    | ~ spl0_53
    | ~ spl0_142 ),
    inference(avatar_split_clause,[],[f2091,f953,f475,f720,f771]) ).

fof(f475,plain,
    ( spl0_53
  <=> ! [X53] :
        ( c2_1(X53)
        | ~ c1_1(X53)
        | ~ c0_1(X53) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_53])]) ).

fof(f2091,plain,
    ( ~ c0_1(a1458)
    | c2_1(a1458)
    | ~ spl0_53
    | ~ spl0_142 ),
    inference(resolution,[],[f476,f955]) ).

fof(f476,plain,
    ( ! [X53] :
        ( ~ c1_1(X53)
        | c2_1(X53)
        | ~ c0_1(X53) )
    | ~ spl0_53 ),
    inference(avatar_component_clause,[],[f475]) ).

fof(f2098,plain,
    ( ~ spl0_16
    | spl0_159
    | ~ spl0_53
    | ~ spl0_119 ),
    inference(avatar_split_clause,[],[f2089,f826,f475,f1057,f314]) ).

fof(f2089,plain,
    ( c2_1(a1452)
    | ~ c0_1(a1452)
    | ~ spl0_53
    | ~ spl0_119 ),
    inference(resolution,[],[f476,f828]) ).

fof(f2073,plain,
    ( spl0_136
    | ~ spl0_167
    | ~ spl0_41
    | ~ spl0_114 ),
    inference(avatar_split_clause,[],[f2049,f798,f420,f1180,f919]) ).

fof(f919,plain,
    ( spl0_136
  <=> c1_1(a1441) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_136])]) ).

fof(f420,plain,
    ( spl0_41
  <=> ! [X99] :
        ( ~ c3_1(X99)
        | ~ c2_1(X99)
        | c1_1(X99) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_41])]) ).

fof(f2049,plain,
    ( ~ c2_1(a1441)
    | c1_1(a1441)
    | ~ spl0_41
    | ~ spl0_114 ),
    inference(resolution,[],[f421,f800]) ).

fof(f421,plain,
    ( ! [X99] :
        ( ~ c3_1(X99)
        | c1_1(X99)
        | ~ c2_1(X99) )
    | ~ spl0_41 ),
    inference(avatar_component_clause,[],[f420]) ).

fof(f2068,plain,
    ( ~ spl0_152
    | spl0_168
    | ~ spl0_41
    | ~ spl0_132 ),
    inference(avatar_split_clause,[],[f2044,f897,f420,f1210,f1011]) ).

fof(f2044,plain,
    ( c1_1(a1429)
    | ~ c2_1(a1429)
    | ~ spl0_41
    | ~ spl0_132 ),
    inference(resolution,[],[f421,f899]) ).

fof(f2065,plain,
    ( ~ spl0_126
    | spl0_108
    | ~ spl0_41
    | ~ spl0_148 ),
    inference(avatar_split_clause,[],[f2055,f988,f420,f763,f866]) ).

fof(f866,plain,
    ( spl0_126
  <=> c2_1(a1465) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_126])]) ).

fof(f763,plain,
    ( spl0_108
  <=> c1_1(a1465) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_108])]) ).

fof(f988,plain,
    ( spl0_148
  <=> c3_1(a1465) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_148])]) ).

fof(f2055,plain,
    ( c1_1(a1465)
    | ~ c2_1(a1465)
    | ~ spl0_41
    | ~ spl0_148 ),
    inference(resolution,[],[f421,f990]) ).

fof(f990,plain,
    ( c3_1(a1465)
    | ~ spl0_148 ),
    inference(avatar_component_clause,[],[f988]) ).

fof(f2037,plain,
    ( ~ spl0_117
    | ~ spl0_167
    | ~ spl0_40
    | ~ spl0_114 ),
    inference(avatar_split_clause,[],[f2005,f798,f417,f1180,f816]) ).

fof(f2005,plain,
    ( ~ c2_1(a1441)
    | ~ c0_1(a1441)
    | ~ spl0_40
    | ~ spl0_114 ),
    inference(resolution,[],[f418,f800]) ).

fof(f2027,plain,
    ( ~ spl0_111
    | ~ spl0_177
    | ~ spl0_40
    | ~ spl0_154 ),
    inference(avatar_split_clause,[],[f2017,f1026,f417,f1429,f781]) ).

fof(f2017,plain,
    ( ~ c2_1(a1456)
    | ~ c0_1(a1456)
    | ~ spl0_40
    | ~ spl0_154 ),
    inference(resolution,[],[f418,f1028]) ).

fof(f2022,plain,
    ( ~ spl0_84
    | ~ spl0_134
    | ~ spl0_40
    | ~ spl0_74 ),
    inference(avatar_split_clause,[],[f2016,f576,f417,f908,f624]) ).

fof(f624,plain,
    ( spl0_84
  <=> c2_1(a1428) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_84])]) ).

fof(f908,plain,
    ( spl0_134
  <=> c0_1(a1428) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_134])]) ).

fof(f576,plain,
    ( spl0_74
  <=> c3_1(a1428) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_74])]) ).

fof(f2016,plain,
    ( ~ c0_1(a1428)
    | ~ c2_1(a1428)
    | ~ spl0_40
    | ~ spl0_74 ),
    inference(resolution,[],[f418,f578]) ).

fof(f578,plain,
    ( c3_1(a1428)
    | ~ spl0_74 ),
    inference(avatar_component_clause,[],[f576]) ).

fof(f1987,plain,
    ( spl0_44
    | spl0_168
    | ~ spl0_7
    | ~ spl0_132 ),
    inference(avatar_split_clause,[],[f1956,f897,f279,f1210,f431]) ).

fof(f1956,plain,
    ( c1_1(a1429)
    | c0_1(a1429)
    | ~ spl0_7
    | ~ spl0_132 ),
    inference(resolution,[],[f280,f899]) ).

fof(f1983,plain,
    ( spl0_141
    | spl0_174
    | ~ spl0_7
    | ~ spl0_157 ),
    inference(avatar_split_clause,[],[f1957,f1041,f279,f1300,f947]) ).

fof(f1957,plain,
    ( c1_1(a1430)
    | c0_1(a1430)
    | ~ spl0_7
    | ~ spl0_157 ),
    inference(resolution,[],[f280,f1043]) ).

fof(f1043,plain,
    ( c3_1(a1430)
    | ~ spl0_157 ),
    inference(avatar_component_clause,[],[f1041]) ).

fof(f1943,plain,
    ( spl0_122
    | spl0_140
    | ~ spl0_5
    | ~ spl0_123 ),
    inference(avatar_split_clause,[],[f1921,f849,f271,f942,f842]) ).

fof(f842,plain,
    ( spl0_122
  <=> c2_1(a1435) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_122])]) ).

fof(f942,plain,
    ( spl0_140
  <=> c3_1(a1435) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_140])]) ).

fof(f849,plain,
    ( spl0_123
  <=> c0_1(a1435) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_123])]) ).

fof(f1921,plain,
    ( c3_1(a1435)
    | c2_1(a1435)
    | ~ spl0_5
    | ~ spl0_123 ),
    inference(resolution,[],[f272,f851]) ).

fof(f851,plain,
    ( c0_1(a1435)
    | ~ spl0_123 ),
    inference(avatar_component_clause,[],[f849]) ).

fof(f1934,plain,
    ( spl0_159
    | spl0_135
    | ~ spl0_5
    | ~ spl0_16 ),
    inference(avatar_split_clause,[],[f1925,f314,f271,f913,f1057]) ).

fof(f1925,plain,
    ( c3_1(a1452)
    | c2_1(a1452)
    | ~ spl0_5
    | ~ spl0_16 ),
    inference(resolution,[],[f272,f316]) ).

fof(f316,plain,
    ( c0_1(a1452)
    | ~ spl0_16 ),
    inference(avatar_component_clause,[],[f314]) ).

fof(f1906,plain,
    ( spl0_147
    | spl0_164
    | ~ spl0_37
    | ~ spl0_93 ),
    inference(avatar_split_clause,[],[f1894,f672,f403,f1113,f983]) ).

fof(f1894,plain,
    ( c3_1(a1431)
    | c2_1(a1431)
    | ~ spl0_37
    | ~ spl0_93 ),
    inference(resolution,[],[f404,f674]) ).

fof(f1904,plain,
    ( spl0_109
    | spl0_176
    | ~ spl0_37
    | ~ spl0_142 ),
    inference(avatar_split_clause,[],[f1899,f953,f403,f1413,f771]) ).

fof(f1899,plain,
    ( c3_1(a1458)
    | c2_1(a1458)
    | ~ spl0_37
    | ~ spl0_142 ),
    inference(resolution,[],[f404,f955]) ).

fof(f1903,plain,
    ( spl0_159
    | spl0_135
    | ~ spl0_37
    | ~ spl0_119 ),
    inference(avatar_split_clause,[],[f1898,f826,f403,f913,f1057]) ).

fof(f1898,plain,
    ( c3_1(a1452)
    | c2_1(a1452)
    | ~ spl0_37
    | ~ spl0_119 ),
    inference(resolution,[],[f404,f828]) ).

fof(f1883,plain,
    ( spl0_57
    | spl0_185
    | ~ spl0_13
    | ~ spl0_113 ),
    inference(avatar_split_clause,[],[f1878,f793,f303,f1816,f494]) ).

fof(f1878,plain,
    ( c3_1(a1466)
    | c1_1(a1466)
    | ~ spl0_13
    | ~ spl0_113 ),
    inference(resolution,[],[f304,f795]) ).

fof(f1868,plain,
    ( ~ spl0_106
    | spl0_182
    | ~ spl0_22
    | ~ spl0_155 ),
    inference(avatar_split_clause,[],[f1850,f1031,f339,f1641,f752]) ).

fof(f339,plain,
    ( spl0_22
  <=> ! [X103] :
        ( ~ c0_1(X103)
        | c1_1(X103)
        | ~ c2_1(X103) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_22])]) ).

fof(f1850,plain,
    ( c1_1(a1427)
    | ~ c0_1(a1427)
    | ~ spl0_22
    | ~ spl0_155 ),
    inference(resolution,[],[f340,f1033]) ).

fof(f340,plain,
    ( ! [X103] :
        ( ~ c2_1(X103)
        | ~ c0_1(X103)
        | c1_1(X103) )
    | ~ spl0_22 ),
    inference(avatar_component_clause,[],[f339]) ).

fof(f1867,plain,
    ( ~ spl0_80
    | spl0_83
    | ~ spl0_22
    | ~ spl0_158 ),
    inference(avatar_split_clause,[],[f1852,f1052,f339,f619,f605]) ).

fof(f1852,plain,
    ( c1_1(a1445)
    | ~ c0_1(a1445)
    | ~ spl0_22
    | ~ spl0_158 ),
    inference(resolution,[],[f340,f1054]) ).

fof(f1054,plain,
    ( c2_1(a1445)
    | ~ spl0_158 ),
    inference(avatar_component_clause,[],[f1052]) ).

fof(f1866,plain,
    ( spl0_76
    | ~ spl0_166
    | ~ spl0_22
    | ~ spl0_77 ),
    inference(avatar_split_clause,[],[f1853,f590,f339,f1155,f585]) ).

fof(f1853,plain,
    ( ~ c0_1(a1451)
    | c1_1(a1451)
    | ~ spl0_22
    | ~ spl0_77 ),
    inference(resolution,[],[f340,f592]) ).

fof(f1865,plain,
    ( ~ spl0_113
    | spl0_57
    | ~ spl0_22
    | ~ spl0_128 ),
    inference(avatar_split_clause,[],[f1856,f877,f339,f494,f793]) ).

fof(f1856,plain,
    ( c1_1(a1466)
    | ~ c0_1(a1466)
    | ~ spl0_22
    | ~ spl0_128 ),
    inference(resolution,[],[f340,f879]) ).

fof(f879,plain,
    ( c2_1(a1466)
    | ~ spl0_128 ),
    inference(avatar_component_clause,[],[f877]) ).

fof(f1822,plain,
    ( spl0_44
    | spl0_168
    | ~ spl0_42
    | ~ spl0_152 ),
    inference(avatar_split_clause,[],[f1821,f1011,f423,f1210,f431]) ).

fof(f1821,plain,
    ( c1_1(a1429)
    | c0_1(a1429)
    | ~ spl0_42
    | ~ spl0_152 ),
    inference(resolution,[],[f1013,f424]) ).

fof(f1013,plain,
    ( c2_1(a1429)
    | ~ spl0_152 ),
    inference(avatar_component_clause,[],[f1011]) ).

fof(f1802,plain,
    ( spl0_47
    | spl0_76
    | ~ spl0_77
    | ~ spl0_88 ),
    inference(avatar_split_clause,[],[f1798,f646,f590,f585,f446]) ).

fof(f646,plain,
    ( spl0_88
  <=> ! [X38] :
        ( c1_1(X38)
        | ~ c2_1(X38)
        | c3_1(X38) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_88])]) ).

fof(f1798,plain,
    ( c1_1(a1451)
    | c3_1(a1451)
    | ~ spl0_77
    | ~ spl0_88 ),
    inference(resolution,[],[f592,f647]) ).

fof(f647,plain,
    ( ! [X38] :
        ( ~ c2_1(X38)
        | c1_1(X38)
        | c3_1(X38) )
    | ~ spl0_88 ),
    inference(avatar_component_clause,[],[f646]) ).

fof(f1720,plain,
    ( spl0_83
    | spl0_70
    | ~ spl0_88
    | ~ spl0_158 ),
    inference(avatar_split_clause,[],[f1714,f1052,f646,f558,f619]) ).

fof(f1714,plain,
    ( c3_1(a1445)
    | c1_1(a1445)
    | ~ spl0_88
    | ~ spl0_158 ),
    inference(resolution,[],[f1054,f647]) ).

fof(f1719,plain,
    ( ~ spl0_80
    | spl0_70
    | ~ spl0_21
    | ~ spl0_158 ),
    inference(avatar_split_clause,[],[f1716,f1052,f335,f558,f605]) ).

fof(f1716,plain,
    ( c3_1(a1445)
    | ~ c0_1(a1445)
    | ~ spl0_21
    | ~ spl0_158 ),
    inference(resolution,[],[f1054,f336]) ).

fof(f1663,plain,
    ( spl0_151
    | spl0_182
    | ~ spl0_88
    | ~ spl0_155 ),
    inference(avatar_split_clause,[],[f1658,f1031,f646,f1641,f1006]) ).

fof(f1658,plain,
    ( c1_1(a1427)
    | c3_1(a1427)
    | ~ spl0_88
    | ~ spl0_155 ),
    inference(resolution,[],[f1033,f647]) ).

fof(f1633,plain,
    ( spl0_11
    | spl0_181
    | ~ spl0_68
    | ~ spl0_91 ),
    inference(avatar_split_clause,[],[f1625,f660,f548,f1629,f294]) ).

fof(f548,plain,
    ( spl0_68
  <=> ! [X82] :
        ( c3_1(X82)
        | c0_1(X82)
        | ~ c2_1(X82) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_68])]) ).

fof(f1625,plain,
    ( c3_1(a1504)
    | c0_1(a1504)
    | ~ spl0_68
    | ~ spl0_91 ),
    inference(resolution,[],[f662,f549]) ).

fof(f549,plain,
    ( ! [X82] :
        ( ~ c2_1(X82)
        | c3_1(X82)
        | c0_1(X82) )
    | ~ spl0_68 ),
    inference(avatar_component_clause,[],[f548]) ).

fof(f662,plain,
    ( c2_1(a1504)
    | ~ spl0_91 ),
    inference(avatar_component_clause,[],[f660]) ).

fof(f1623,plain,
    ( ~ spl0_154
    | ~ spl0_111
    | ~ spl0_48
    | ~ spl0_89 ),
    inference(avatar_split_clause,[],[f1612,f649,f451,f781,f1026]) ).

fof(f451,plain,
    ( spl0_48
  <=> c1_1(a1456) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_48])]) ).

fof(f649,plain,
    ( spl0_89
  <=> ! [X37] :
        ( ~ c0_1(X37)
        | ~ c3_1(X37)
        | ~ c1_1(X37) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_89])]) ).

fof(f1612,plain,
    ( ~ c0_1(a1456)
    | ~ c3_1(a1456)
    | ~ spl0_48
    | ~ spl0_89 ),
    inference(resolution,[],[f650,f453]) ).

fof(f453,plain,
    ( c1_1(a1456)
    | ~ spl0_48 ),
    inference(avatar_component_clause,[],[f451]) ).

fof(f650,plain,
    ( ! [X37] :
        ( ~ c1_1(X37)
        | ~ c3_1(X37)
        | ~ c0_1(X37) )
    | ~ spl0_89 ),
    inference(avatar_component_clause,[],[f649]) ).

fof(f1621,plain,
    ( ~ spl0_153
    | ~ spl0_30
    | ~ spl0_89
    | ~ spl0_173 ),
    inference(avatar_split_clause,[],[f1607,f1286,f649,f371,f1020]) ).

fof(f1607,plain,
    ( ~ c3_1(a1448)
    | ~ c0_1(a1448)
    | ~ spl0_89
    | ~ spl0_173 ),
    inference(resolution,[],[f650,f1288]) ).

fof(f1288,plain,
    ( c1_1(a1448)
    | ~ spl0_173 ),
    inference(avatar_component_clause,[],[f1286]) ).

fof(f1617,plain,
    ( ~ spl0_102
    | ~ spl0_176
    | ~ spl0_89
    | ~ spl0_142 ),
    inference(avatar_split_clause,[],[f1610,f953,f649,f1413,f720]) ).

fof(f1610,plain,
    ( ~ c3_1(a1458)
    | ~ c0_1(a1458)
    | ~ spl0_89
    | ~ spl0_142 ),
    inference(resolution,[],[f650,f955]) ).

fof(f1557,plain,
    ( spl0_17
    | spl0_129
    | ~ spl0_68
    | ~ spl0_172 ),
    inference(avatar_split_clause,[],[f1551,f1277,f548,f882,f319]) ).

fof(f1551,plain,
    ( c0_1(a1454)
    | c3_1(a1454)
    | ~ spl0_68
    | ~ spl0_172 ),
    inference(resolution,[],[f1279,f549]) ).

fof(f1279,plain,
    ( c2_1(a1454)
    | ~ spl0_172 ),
    inference(avatar_component_clause,[],[f1277]) ).

fof(f1543,plain,
    ( ~ spl0_133
    | spl0_110
    | ~ spl0_29
    | ~ spl0_171 ),
    inference(avatar_split_clause,[],[f1539,f1268,f367,f776,f903]) ).

fof(f1268,plain,
    ( spl0_171
  <=> c3_1(a1477) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_171])]) ).

fof(f1539,plain,
    ( c0_1(a1477)
    | ~ c2_1(a1477)
    | ~ spl0_29
    | ~ spl0_171 ),
    inference(resolution,[],[f1270,f368]) ).

fof(f1270,plain,
    ( c3_1(a1477)
    | ~ spl0_171 ),
    inference(avatar_component_clause,[],[f1268]) ).

fof(f1525,plain,
    ( spl0_171
    | spl0_101
    | ~ spl0_88
    | ~ spl0_133 ),
    inference(avatar_split_clause,[],[f1521,f903,f646,f714,f1268]) ).

fof(f1521,plain,
    ( c1_1(a1477)
    | c3_1(a1477)
    | ~ spl0_88
    | ~ spl0_133 ),
    inference(resolution,[],[f647,f905]) ).

fof(f1517,plain,
    ( spl0_136
    | spl0_167
    | ~ spl0_85
    | ~ spl0_114 ),
    inference(avatar_split_clause,[],[f1503,f798,f629,f1180,f919]) ).

fof(f629,plain,
    ( spl0_85
  <=> ! [X17] :
        ( ~ c3_1(X17)
        | c2_1(X17)
        | c1_1(X17) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_85])]) ).

fof(f1503,plain,
    ( c2_1(a1441)
    | c1_1(a1441)
    | ~ spl0_85
    | ~ spl0_114 ),
    inference(resolution,[],[f630,f800]) ).

fof(f630,plain,
    ( ! [X17] :
        ( ~ c3_1(X17)
        | c2_1(X17)
        | c1_1(X17) )
    | ~ spl0_85 ),
    inference(avatar_component_clause,[],[f629]) ).

fof(f1516,plain,
    ( spl0_174
    | spl0_67
    | ~ spl0_85
    | ~ spl0_157 ),
    inference(avatar_split_clause,[],[f1501,f1041,f629,f543,f1300]) ).

fof(f1501,plain,
    ( c2_1(a1430)
    | c1_1(a1430)
    | ~ spl0_85
    | ~ spl0_157 ),
    inference(resolution,[],[f630,f1043]) ).

fof(f1492,plain,
    ( spl0_178
    | spl0_139
    | ~ spl0_82
    | spl0_87 ),
    inference(avatar_split_clause,[],[f1482,f639,f615,f936,f1465]) ).

fof(f936,plain,
    ( spl0_139
  <=> c2_1(a1457) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_139])]) ).

fof(f1482,plain,
    ( c2_1(a1457)
    | c3_1(a1457)
    | ~ spl0_82
    | spl0_87 ),
    inference(resolution,[],[f616,f641]) ).

fof(f641,plain,
    ( ~ c1_1(a1457)
    | spl0_87 ),
    inference(avatar_component_clause,[],[f639]) ).

fof(f1491,plain,
    ( spl0_5
    | ~ spl0_61
    | ~ spl0_82 ),
    inference(avatar_split_clause,[],[f1485,f615,f511,f271]) ).

fof(f1485,plain,
    ( ! [X0] :
        ( c2_1(X0)
        | ~ c0_1(X0)
        | c3_1(X0) )
    | ~ spl0_61
    | ~ spl0_82 ),
    inference(duplicate_literal_removal,[],[f1475]) ).

fof(f1475,plain,
    ( ! [X0] :
        ( ~ c0_1(X0)
        | c3_1(X0)
        | c2_1(X0)
        | c3_1(X0) )
    | ~ spl0_61
    | ~ spl0_82 ),
    inference(resolution,[],[f616,f512]) ).

fof(f1489,plain,
    ( spl0_99
    | spl0_127
    | ~ spl0_82
    | spl0_118 ),
    inference(avatar_split_clause,[],[f1478,f821,f615,f871,f704]) ).

fof(f704,plain,
    ( spl0_99
  <=> c3_1(a1444) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_99])]) ).

fof(f871,plain,
    ( spl0_127
  <=> c2_1(a1444) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_127])]) ).

fof(f821,plain,
    ( spl0_118
  <=> c1_1(a1444) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_118])]) ).

fof(f1478,plain,
    ( c2_1(a1444)
    | c3_1(a1444)
    | ~ spl0_82
    | spl0_118 ),
    inference(resolution,[],[f616,f823]) ).

fof(f823,plain,
    ( ~ c1_1(a1444)
    | spl0_118 ),
    inference(avatar_component_clause,[],[f821]) ).

fof(f1468,plain,
    ( spl0_79
    | spl0_178
    | ~ spl0_60
    | spl0_87 ),
    inference(avatar_split_clause,[],[f1452,f639,f507,f1465,f599]) ).

fof(f507,plain,
    ( spl0_60
  <=> ! [X111] :
        ( c0_1(X111)
        | c3_1(X111)
        | c1_1(X111) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_60])]) ).

fof(f1452,plain,
    ( c3_1(a1457)
    | c0_1(a1457)
    | ~ spl0_60
    | spl0_87 ),
    inference(resolution,[],[f508,f641]) ).

fof(f508,plain,
    ( ! [X111] :
        ( c1_1(X111)
        | c0_1(X111)
        | c3_1(X111) )
    | ~ spl0_60 ),
    inference(avatar_component_clause,[],[f507]) ).

fof(f1458,plain,
    ( spl0_105
    | spl0_94
    | ~ spl0_60
    | spl0_150 ),
    inference(avatar_split_clause,[],[f1453,f1000,f507,f677,f738]) ).

fof(f1453,plain,
    ( c3_1(a1460)
    | c0_1(a1460)
    | ~ spl0_60
    | spl0_150 ),
    inference(resolution,[],[f508,f1002]) ).

fof(f1457,plain,
    ( spl0_47
    | spl0_166
    | ~ spl0_60
    | spl0_76 ),
    inference(avatar_split_clause,[],[f1450,f585,f507,f1155,f446]) ).

fof(f1450,plain,
    ( c0_1(a1451)
    | c3_1(a1451)
    | ~ spl0_60
    | spl0_76 ),
    inference(resolution,[],[f508,f587]) ).

fof(f587,plain,
    ( ~ c1_1(a1451)
    | spl0_76 ),
    inference(avatar_component_clause,[],[f585]) ).

fof(f1377,plain,
    ( spl0_47
    | spl0_76
    | ~ spl0_13
    | ~ spl0_166 ),
    inference(avatar_split_clause,[],[f1375,f1155,f303,f585,f446]) ).

fof(f1375,plain,
    ( c1_1(a1451)
    | c3_1(a1451)
    | ~ spl0_13
    | ~ spl0_166 ),
    inference(resolution,[],[f1157,f304]) ).

fof(f1157,plain,
    ( c0_1(a1451)
    | ~ spl0_166 ),
    inference(avatar_component_clause,[],[f1155]) ).

fof(f1208,plain,
    ( spl0_136
    | ~ spl0_167
    | ~ spl0_41
    | ~ spl0_114 ),
    inference(avatar_split_clause,[],[f1205,f798,f420,f1180,f919]) ).

fof(f1205,plain,
    ( ~ c2_1(a1441)
    | c1_1(a1441)
    | ~ spl0_41
    | ~ spl0_114 ),
    inference(resolution,[],[f421,f800]) ).

fof(f1187,plain,
    ( spl0_136
    | spl0_167
    | ~ spl0_20
    | ~ spl0_117 ),
    inference(avatar_split_clause,[],[f1186,f816,f332,f1180,f919]) ).

fof(f1186,plain,
    ( c2_1(a1441)
    | c1_1(a1441)
    | ~ spl0_20
    | ~ spl0_117 ),
    inference(resolution,[],[f818,f333]) ).

fof(f818,plain,
    ( c0_1(a1441)
    | ~ spl0_117 ),
    inference(avatar_component_clause,[],[f816]) ).

fof(f1171,plain,
    ( spl0_135
    | ~ spl0_159
    | ~ spl0_36
    | ~ spl0_119 ),
    inference(avatar_split_clause,[],[f1167,f826,f400,f1057,f913]) ).

fof(f400,plain,
    ( spl0_36
  <=> ! [X101] :
        ( ~ c2_1(X101)
        | ~ c1_1(X101)
        | c3_1(X101) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_36])]) ).

fof(f1167,plain,
    ( ~ c2_1(a1452)
    | c3_1(a1452)
    | ~ spl0_36
    | ~ spl0_119 ),
    inference(resolution,[],[f401,f828]) ).

fof(f401,plain,
    ( ! [X101] :
        ( ~ c1_1(X101)
        | ~ c2_1(X101)
        | c3_1(X101) )
    | ~ spl0_36 ),
    inference(avatar_component_clause,[],[f400]) ).

fof(f1153,plain,
    ( spl0_161
    | spl0_145
    | ~ spl0_34
    | spl0_115 ),
    inference(avatar_split_clause,[],[f1137,f805,f391,f970,f1072]) ).

fof(f391,plain,
    ( spl0_34
  <=> ! [X41] :
        ( c1_1(X41)
        | c0_1(X41)
        | c2_1(X41) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_34])]) ).

fof(f1137,plain,
    ( c0_1(a1438)
    | c2_1(a1438)
    | ~ spl0_34
    | spl0_115 ),
    inference(resolution,[],[f392,f807]) ).

fof(f807,plain,
    ( ~ c1_1(a1438)
    | spl0_115 ),
    inference(avatar_component_clause,[],[f805]) ).

fof(f392,plain,
    ( ! [X41] :
        ( c1_1(X41)
        | c2_1(X41)
        | c0_1(X41) )
    | ~ spl0_34 ),
    inference(avatar_component_clause,[],[f391]) ).

fof(f1146,plain,
    ( spl0_79
    | spl0_139
    | ~ spl0_34
    | spl0_87 ),
    inference(avatar_split_clause,[],[f1141,f639,f391,f936,f599]) ).

fof(f1141,plain,
    ( c2_1(a1457)
    | c0_1(a1457)
    | ~ spl0_34
    | spl0_87 ),
    inference(resolution,[],[f392,f641]) ).

fof(f1048,plain,
    ( ~ spl0_3
    | spl0_107
    | spl0_20
    | spl0_61 ),
    inference(avatar_split_clause,[],[f208,f511,f332,f759,f263]) ).

fof(f263,plain,
    ( spl0_3
  <=> ndr1_0 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_3])]) ).

fof(f759,plain,
    ( spl0_107
  <=> hskp20 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_107])]) ).

fof(f208,plain,
    ! [X73,X74] :
      ( ~ c0_1(X74)
      | c1_1(X73)
      | c2_1(X73)
      | ~ c0_1(X73)
      | c3_1(X74)
      | hskp20
      | ~ c1_1(X74)
      | ~ ndr1_0 ),
    inference(duplicate_literal_removal,[],[f134]) ).

fof(f134,plain,
    ! [X73,X74] :
      ( ~ c0_1(X73)
      | ~ c1_1(X74)
      | hskp20
      | c2_1(X73)
      | c3_1(X74)
      | ~ ndr1_0
      | ~ ndr1_0
      | c1_1(X73)
      | ~ c0_1(X74) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f7,plain,
    ( ( hskp0
      | hskp4
      | ! [X0] :
          ( ~ c2_1(X0)
          | c1_1(X0)
          | ~ ndr1_0
          | c0_1(X0) ) )
    & ( ~ hskp24
      | ( c3_1(a1487)
        & ~ c1_1(a1487)
        & ~ c2_1(a1487)
        & ndr1_0 ) )
    & ( ! [X1] :
          ( c2_1(X1)
          | ~ c3_1(X1)
          | ~ c0_1(X1)
          | ~ ndr1_0 )
      | ! [X2] :
          ( c0_1(X2)
          | ~ ndr1_0
          | c1_1(X2)
          | ~ c2_1(X2) )
      | ! [X3] :
          ( c0_1(X3)
          | ~ c3_1(X3)
          | ~ c1_1(X3)
          | ~ ndr1_0 ) )
    & ( ! [X4] :
          ( ~ c1_1(X4)
          | ~ ndr1_0
          | c0_1(X4)
          | c2_1(X4) )
      | ! [X5] :
          ( ~ c1_1(X5)
          | ~ c3_1(X5)
          | ~ ndr1_0
          | ~ c0_1(X5) )
      | hskp0 )
    & ( hskp5
      | hskp17
      | ! [X6] :
          ( ~ ndr1_0
          | c2_1(X6)
          | ~ c0_1(X6)
          | ~ c3_1(X6) ) )
    & ( ! [X7] :
          ( ~ ndr1_0
          | c1_1(X7)
          | ~ c2_1(X7)
          | c0_1(X7) )
      | hskp0
      | ! [X8] :
          ( c0_1(X8)
          | ~ c3_1(X8)
          | ~ c1_1(X8)
          | ~ ndr1_0 ) )
    & ( ! [X9] :
          ( ~ c3_1(X9)
          | ~ c1_1(X9)
          | c0_1(X9)
          | ~ ndr1_0 )
      | ! [X10] :
          ( ~ c2_1(X10)
          | ~ c0_1(X10)
          | ~ ndr1_0
          | ~ c3_1(X10) )
      | hskp18 )
    & ( ! [X11] :
          ( c1_1(X11)
          | ~ c3_1(X11)
          | c0_1(X11)
          | ~ ndr1_0 )
      | hskp5
      | ! [X12] :
          ( ~ c3_1(X12)
          | ~ ndr1_0
          | c2_1(X12)
          | ~ c0_1(X12) ) )
    & ( hskp11
      | ! [X13] :
          ( c2_1(X13)
          | c3_1(X13)
          | ~ c0_1(X13)
          | ~ ndr1_0 )
      | ! [X14] :
          ( ~ ndr1_0
          | ~ c0_1(X14)
          | ~ c2_1(X14)
          | ~ c3_1(X14) ) )
    & ( hskp16
      | hskp14
      | ! [X15] :
          ( ~ c1_1(X15)
          | ~ c0_1(X15)
          | c3_1(X15)
          | ~ ndr1_0 ) )
    & ( ~ hskp18
      | ( ndr1_0
        & c0_1(a1458)
        & ~ c2_1(a1458)
        & c1_1(a1458) ) )
    & ( ! [X16] :
          ( ~ c3_1(X16)
          | c2_1(X16)
          | ~ c0_1(X16)
          | ~ ndr1_0 )
      | hskp22
      | ! [X17] :
          ( c2_1(X17)
          | ~ c3_1(X17)
          | ~ ndr1_0
          | c1_1(X17) ) )
    & ( ! [X18] :
          ( ~ ndr1_0
          | c0_1(X18)
          | ~ c3_1(X18)
          | c2_1(X18) )
      | ! [X19] :
          ( ~ c1_1(X19)
          | ~ ndr1_0
          | ~ c0_1(X19)
          | c2_1(X19) )
      | hskp10 )
    & ( hskp20
      | ! [X20] :
          ( c1_1(X20)
          | ~ c2_1(X20)
          | ~ c3_1(X20)
          | ~ ndr1_0 )
      | hskp11 )
    & ( ! [X21] :
          ( ~ c0_1(X21)
          | ~ ndr1_0
          | c3_1(X21)
          | ~ c2_1(X21) )
      | hskp21
      | ! [X22] :
          ( ~ c0_1(X22)
          | c1_1(X22)
          | ~ ndr1_0
          | c2_1(X22) ) )
    & ( ~ hskp26
      | ( ~ c0_1(a1517)
        & ndr1_0
        & c2_1(a1517)
        & ~ c3_1(a1517) ) )
    & ( ! [X23] :
          ( ~ ndr1_0
          | c2_1(X23)
          | c1_1(X23)
          | c3_1(X23) )
      | ! [X24] :
          ( c1_1(X24)
          | c0_1(X24)
          | c3_1(X24)
          | ~ ndr1_0 )
      | ! [X25] :
          ( ~ c1_1(X25)
          | c2_1(X25)
          | ~ ndr1_0
          | c3_1(X25) ) )
    & ( ~ hskp4
      | ( ndr1_0
        & ~ c2_1(a1434)
        & c3_1(a1434)
        & c1_1(a1434) ) )
    & ( ! [X26] :
          ( ~ c1_1(X26)
          | ~ c3_1(X26)
          | c2_1(X26)
          | ~ ndr1_0 )
      | hskp12
      | ! [X27] :
          ( ~ c3_1(X27)
          | ~ c2_1(X27)
          | ~ ndr1_0
          | c0_1(X27) ) )
    & ( ! [X28] :
          ( ~ ndr1_0
          | ~ c2_1(X28)
          | c3_1(X28)
          | ~ c0_1(X28) )
      | hskp11
      | hskp12 )
    & ( hskp3
      | hskp22
      | hskp17 )
    & ( hskp12
      | ! [X29] :
          ( ~ ndr1_0
          | c3_1(X29)
          | c2_1(X29)
          | ~ c1_1(X29) )
      | ! [X30] :
          ( ~ ndr1_0
          | ~ c1_1(X30)
          | c0_1(X30)
          | c3_1(X30) ) )
    & ( ! [X31] :
          ( ~ c2_1(X31)
          | c0_1(X31)
          | ~ ndr1_0
          | ~ c1_1(X31) )
      | hskp15
      | ! [X32] :
          ( ~ c2_1(X32)
          | c0_1(X32)
          | ~ ndr1_0
          | ~ c3_1(X32) ) )
    & ( ( ~ c0_1(a1454)
        & ndr1_0
        & c1_1(a1454)
        & ~ c3_1(a1454) )
      | ~ hskp16 )
    & ( ! [X33] :
          ( c3_1(X33)
          | ~ c2_1(X33)
          | ~ ndr1_0
          | c0_1(X33) )
      | hskp9
      | ! [X34] :
          ( c0_1(X34)
          | c2_1(X34)
          | ~ c3_1(X34)
          | ~ ndr1_0 ) )
    & ( ! [X35] :
          ( ~ ndr1_0
          | ~ c3_1(X35)
          | ~ c2_1(X35)
          | c1_1(X35) )
      | hskp23
      | ! [X36] :
          ( c3_1(X36)
          | ~ c0_1(X36)
          | ~ ndr1_0
          | ~ c2_1(X36) ) )
    & ( ! [X37] :
          ( ~ c3_1(X37)
          | ~ c1_1(X37)
          | ~ ndr1_0
          | ~ c0_1(X37) )
      | ! [X38] :
          ( c3_1(X38)
          | ~ c2_1(X38)
          | c1_1(X38)
          | ~ ndr1_0 )
      | hskp13 )
    & ( hskp7
      | ! [X39] :
          ( c0_1(X39)
          | ~ ndr1_0
          | ~ c2_1(X39)
          | ~ c1_1(X39) )
      | ! [X40] :
          ( ~ c0_1(X40)
          | ~ ndr1_0
          | c3_1(X40)
          | c1_1(X40) ) )
    & ( ! [X41] :
          ( c1_1(X41)
          | c2_1(X41)
          | c0_1(X41)
          | ~ ndr1_0 )
      | ! [X42] :
          ( ~ ndr1_0
          | c2_1(X42)
          | c3_1(X42)
          | ~ c0_1(X42) )
      | hskp0 )
    & ( hskp6
      | ! [X43] :
          ( ~ c3_1(X43)
          | c1_1(X43)
          | c2_1(X43)
          | ~ ndr1_0 )
      | ! [X44] :
          ( ~ c3_1(X44)
          | ~ c0_1(X44)
          | ~ c1_1(X44)
          | ~ ndr1_0 ) )
    & ( ! [X45] :
          ( ~ c3_1(X45)
          | ~ ndr1_0
          | c0_1(X45)
          | ~ c1_1(X45) )
      | ! [X46] :
          ( ~ c0_1(X46)
          | ~ ndr1_0
          | c2_1(X46)
          | ~ c3_1(X46) )
      | hskp17 )
    & ( ! [X47] :
          ( ~ c2_1(X47)
          | ~ c0_1(X47)
          | ~ ndr1_0
          | c3_1(X47) )
      | ! [X48] :
          ( c2_1(X48)
          | c1_1(X48)
          | ~ ndr1_0
          | ~ c0_1(X48) )
      | hskp19 )
    & ( hskp0
      | hskp25
      | ! [X49] :
          ( ~ ndr1_0
          | ~ c0_1(X49)
          | ~ c3_1(X49)
          | ~ c1_1(X49) ) )
    & ( ! [X50] :
          ( ~ c1_1(X50)
          | ~ ndr1_0
          | c0_1(X50)
          | c3_1(X50) )
      | hskp1
      | hskp13 )
    & ( hskp12
      | hskp8
      | hskp14 )
    & ( ! [X51] :
          ( ~ ndr1_0
          | ~ c2_1(X51)
          | c1_1(X51)
          | c3_1(X51) )
      | ! [X52] :
          ( c0_1(X52)
          | c1_1(X52)
          | ~ ndr1_0
          | ~ c3_1(X52) )
      | hskp5 )
    & ( ( ~ c0_1(a1438)
        & c3_1(a1438)
        & ndr1_0
        & ~ c1_1(a1438) )
      | ~ hskp7 )
    & ( ! [X53] :
          ( ~ c0_1(X53)
          | ~ ndr1_0
          | c2_1(X53)
          | ~ c1_1(X53) )
      | hskp28
      | ! [X54] :
          ( c0_1(X54)
          | ~ c1_1(X54)
          | ~ c3_1(X54)
          | ~ ndr1_0 ) )
    & ( ! [X55] :
          ( ~ c2_1(X55)
          | c3_1(X55)
          | ~ ndr1_0
          | c1_1(X55) )
      | ! [X56] :
          ( ~ c0_1(X56)
          | c3_1(X56)
          | c2_1(X56)
          | ~ ndr1_0 )
      | ! [X57] :
          ( ~ ndr1_0
          | c0_1(X57)
          | ~ c1_1(X57)
          | ~ c2_1(X57) ) )
    & ( hskp24
      | hskp4
      | ! [X58] :
          ( c3_1(X58)
          | c2_1(X58)
          | ~ ndr1_0
          | ~ c0_1(X58) ) )
    & ( hskp18
      | ! [X59] :
          ( ~ c1_1(X59)
          | ~ ndr1_0
          | c2_1(X59)
          | c3_1(X59) )
      | ! [X60] :
          ( c3_1(X60)
          | ~ ndr1_0
          | c2_1(X60)
          | ~ c0_1(X60) ) )
    & ( ~ hskp15
      | ( c0_1(a1452)
        & ~ c3_1(a1452)
        & ndr1_0
        & c1_1(a1452) ) )
    & ( ! [X61] :
          ( ~ c3_1(X61)
          | ~ ndr1_0
          | c1_1(X61)
          | c0_1(X61) )
      | hskp6
      | hskp7 )
    & ( hskp14
      | hskp19
      | hskp8 )
    & ( ! [X62] :
          ( ~ ndr1_0
          | ~ c3_1(X62)
          | c1_1(X62)
          | ~ c2_1(X62) )
      | hskp8
      | ! [X63] :
          ( ~ ndr1_0
          | c3_1(X63)
          | ~ c1_1(X63)
          | ~ c0_1(X63) ) )
    & ( ! [X64] :
          ( ~ c2_1(X64)
          | ~ ndr1_0
          | c0_1(X64)
          | ~ c1_1(X64) )
      | hskp16
      | hskp7 )
    & ( ! [X65] :
          ( ~ ndr1_0
          | c3_1(X65)
          | ~ c0_1(X65)
          | c2_1(X65) )
      | hskp4
      | hskp7 )
    & ( hskp1
      | hskp2
      | ! [X66] :
          ( ~ ndr1_0
          | c0_1(X66)
          | c2_1(X66)
          | c1_1(X66) ) )
    & ( hskp10
      | hskp12
      | hskp1 )
    & ( ! [X67] :
          ( c0_1(X67)
          | c2_1(X67)
          | ~ ndr1_0
          | c3_1(X67) )
      | hskp2
      | ! [X68] :
          ( ~ ndr1_0
          | c3_1(X68)
          | c1_1(X68)
          | c2_1(X68) ) )
    & ( ! [X69] :
          ( ~ c2_1(X69)
          | ~ ndr1_0
          | c1_1(X69)
          | ~ c3_1(X69) )
      | ! [X70] :
          ( ~ ndr1_0
          | c1_1(X70)
          | c2_1(X70)
          | ~ c3_1(X70) )
      | ! [X71] :
          ( ~ c0_1(X71)
          | ~ c2_1(X71)
          | ~ c1_1(X71)
          | ~ ndr1_0 ) )
    & ( ! [X72] :
          ( c0_1(X72)
          | ~ c3_1(X72)
          | ~ ndr1_0
          | ~ c1_1(X72) )
      | hskp3
      | hskp19 )
    & ( hskp20
      | ! [X73] :
          ( ~ c0_1(X73)
          | ~ ndr1_0
          | c2_1(X73)
          | c1_1(X73) )
      | ! [X74] :
          ( c3_1(X74)
          | ~ ndr1_0
          | ~ c1_1(X74)
          | ~ c0_1(X74) ) )
    & ( ~ hskp27
      | ( c0_1(a1428)
        & c2_1(a1428)
        & c3_1(a1428)
        & ndr1_0 ) )
    & ( ( c3_1(a1441)
        & c0_1(a1441)
        & ~ c1_1(a1441)
        & ndr1_0 )
      | ~ hskp8 )
    & ( ~ hskp23
      | ( c2_1(a1477)
        & ndr1_0
        & ~ c0_1(a1477)
        & ~ c1_1(a1477) ) )
    & ( hskp21
      | hskp9
      | ! [X75] :
          ( ~ c2_1(X75)
          | ~ c1_1(X75)
          | ~ c0_1(X75)
          | ~ ndr1_0 ) )
    & ( ! [X76] :
          ( ~ c2_1(X76)
          | c3_1(X76)
          | ~ ndr1_0
          | ~ c1_1(X76) )
      | hskp23
      | ! [X77] :
          ( ~ ndr1_0
          | ~ c1_1(X77)
          | ~ c2_1(X77)
          | ~ c0_1(X77) ) )
    & ( ( ~ c3_1(a1447)
        & ~ c2_1(a1447)
        & ndr1_0
        & ~ c0_1(a1447) )
      | ~ hskp11 )
    & ( ~ hskp30
      | ( c0_1(a1507)
        & c2_1(a1507)
        & c1_1(a1507)
        & ndr1_0 ) )
    & ( hskp0
      | hskp3
      | ! [X78] :
          ( ~ c1_1(X78)
          | ~ ndr1_0
          | c3_1(X78)
          | c2_1(X78) ) )
    & ( hskp25
      | hskp17
      | hskp2 )
    & ( ! [X79] :
          ( c1_1(X79)
          | ~ ndr1_0
          | ~ c3_1(X79)
          | c2_1(X79) )
      | ! [X80] :
          ( c3_1(X80)
          | ~ ndr1_0
          | ~ c0_1(X80)
          | ~ c1_1(X80) )
      | ! [X81] :
          ( ~ c0_1(X81)
          | ~ c2_1(X81)
          | ~ ndr1_0
          | ~ c3_1(X81) ) )
    & ( hskp14
      | ! [X82] :
          ( c3_1(X82)
          | ~ ndr1_0
          | ~ c2_1(X82)
          | c0_1(X82) )
      | ! [X83] :
          ( ~ c2_1(X83)
          | ~ c1_1(X83)
          | ~ ndr1_0
          | c0_1(X83) ) )
    & ( hskp27
      | ! [X84] :
          ( ~ c2_1(X84)
          | ~ ndr1_0
          | ~ c1_1(X84)
          | ~ c3_1(X84) )
      | ! [X85] :
          ( c0_1(X85)
          | ~ ndr1_0
          | c1_1(X85)
          | c2_1(X85) ) )
    & ( ! [X86] :
          ( c2_1(X86)
          | ~ c1_1(X86)
          | c3_1(X86)
          | ~ ndr1_0 )
      | ! [X87] :
          ( c2_1(X87)
          | ~ ndr1_0
          | ~ c3_1(X87)
          | ~ c1_1(X87) )
      | hskp7 )
    & ( ! [X88] :
          ( c1_1(X88)
          | ~ ndr1_0
          | c2_1(X88)
          | c0_1(X88) )
      | ! [X89] :
          ( ~ ndr1_0
          | c3_1(X89)
          | c1_1(X89)
          | ~ c0_1(X89) )
      | ! [X90] :
          ( ~ ndr1_0
          | ~ c2_1(X90)
          | ~ c0_1(X90)
          | c1_1(X90) ) )
    & ( ! [X91] :
          ( c2_1(X91)
          | ~ c3_1(X91)
          | ~ c1_1(X91)
          | ~ ndr1_0 )
      | hskp0
      | ! [X92] :
          ( ~ ndr1_0
          | c2_1(X92)
          | c0_1(X92)
          | c3_1(X92) ) )
    & ( ~ hskp29
      | ( c3_1(a1483)
        & c1_1(a1483)
        & ndr1_0
        & c2_1(a1483) ) )
    & ( ( ~ c2_1(a1430)
        & ndr1_0
        & ~ c0_1(a1430)
        & c3_1(a1430) )
      | ~ hskp2 )
    & ( hskp8
      | hskp7
      | ! [X93] :
          ( ~ ndr1_0
          | c3_1(X93)
          | c2_1(X93)
          | c0_1(X93) ) )
    & ( hskp29
      | hskp26
      | hskp0 )
    & ( ~ hskp5
      | ( ~ c3_1(a1435)
        & c0_1(a1435)
        & ndr1_0
        & ~ c2_1(a1435) ) )
    & ( ( ~ c2_1(a1444)
        & ~ c1_1(a1444)
        & ndr1_0
        & ~ c3_1(a1444) )
      | ~ hskp9 )
    & ( hskp24
      | hskp30 )
    & ( hskp27
      | hskp15
      | hskp13 )
    & ( ! [X94] :
          ( c1_1(X94)
          | c2_1(X94)
          | ~ ndr1_0
          | c3_1(X94) )
      | hskp7
      | ! [X95] :
          ( ~ c2_1(X95)
          | ~ c1_1(X95)
          | ~ ndr1_0
          | ~ c3_1(X95) ) )
    & ( ! [X96] :
          ( c2_1(X96)
          | ~ ndr1_0
          | c3_1(X96)
          | ~ c0_1(X96) )
      | hskp29
      | hskp5 )
    & ( ~ hskp28
      | ( ndr1_0
        & c1_1(a1456)
        & c0_1(a1456)
        & c3_1(a1456) ) )
    & ( hskp9
      | hskp22
      | ! [X97] :
          ( c2_1(X97)
          | c1_1(X97)
          | ~ c3_1(X97)
          | ~ ndr1_0 ) )
    & ( ! [X98] :
          ( ~ c3_1(X98)
          | ~ ndr1_0
          | ~ c0_1(X98)
          | ~ c2_1(X98) )
      | ! [X99] :
          ( ~ c3_1(X99)
          | ~ c2_1(X99)
          | c1_1(X99)
          | ~ ndr1_0 )
      | ! [X100] :
          ( ~ ndr1_0
          | c1_1(X100)
          | ~ c2_1(X100)
          | c0_1(X100) ) )
    & ( ~ hskp19
      | ( ~ c3_1(a1460)
        & ndr1_0
        & ~ c1_1(a1460)
        & ~ c0_1(a1460) ) )
    & ( hskp30
      | hskp3
      | hskp8 )
    & ( ~ hskp17
      | ( ~ c2_1(a1457)
        & ~ c1_1(a1457)
        & ndr1_0
        & ~ c0_1(a1457) ) )
    & ( hskp12
      | ! [X101] :
          ( ~ c1_1(X101)
          | c3_1(X101)
          | ~ ndr1_0
          | ~ c2_1(X101) )
      | ! [X102] :
          ( c2_1(X102)
          | ~ ndr1_0
          | c3_1(X102)
          | ~ c1_1(X102) ) )
    & ( hskp11
      | ! [X103] :
          ( ~ c0_1(X103)
          | ~ ndr1_0
          | c1_1(X103)
          | ~ c2_1(X103) )
      | hskp0 )
    & ( ( ~ c1_1(a1466)
        & c0_1(a1466)
        & c2_1(a1466)
        & ndr1_0 )
      | ~ hskp21 )
    & ( ~ hskp0
      | ( c0_1(a1427)
        & ndr1_0
        & c2_1(a1427)
        & ~ c3_1(a1427) ) )
    & ( hskp22
      | ! [X104] :
          ( c3_1(X104)
          | ~ c1_1(X104)
          | ~ ndr1_0
          | ~ c0_1(X104) )
      | hskp3 )
    & ( ~ hskp1
      | ( c3_1(a1429)
        & ndr1_0
        & c2_1(a1429)
        & ~ c0_1(a1429) ) )
    & ( ( ~ c0_1(a1468)
        & c1_1(a1468)
        & ndr1_0
        & c3_1(a1468) )
      | ~ hskp22 )
    & ( ~ hskp25
      | ( ndr1_0
        & c1_1(a1504)
        & c2_1(a1504)
        & ~ c0_1(a1504) ) )
    & ( hskp28
      | ! [X105] :
          ( ~ c3_1(X105)
          | ~ c0_1(X105)
          | ~ ndr1_0
          | c1_1(X105) )
      | ! [X106] :
          ( ~ c3_1(X106)
          | ~ ndr1_0
          | ~ c1_1(X106)
          | ~ c2_1(X106) ) )
    & ( ~ hskp3
      | ( ~ c2_1(a1431)
        & ndr1_0
        & ~ c0_1(a1431)
        & c1_1(a1431) ) )
    & ( ! [X107] :
          ( c1_1(X107)
          | ~ c3_1(X107)
          | ~ ndr1_0
          | c0_1(X107) )
      | ! [X108] :
          ( ~ c2_1(X108)
          | ~ ndr1_0
          | c1_1(X108)
          | c3_1(X108) )
      | ! [X109] :
          ( c3_1(X109)
          | c1_1(X109)
          | c2_1(X109)
          | ~ ndr1_0 ) )
    & ( hskp3
      | ! [X110] :
          ( ~ ndr1_0
          | c0_1(X110)
          | ~ c3_1(X110)
          | ~ c1_1(X110) )
      | ! [X111] :
          ( ~ ndr1_0
          | c1_1(X111)
          | c3_1(X111)
          | c0_1(X111) ) )
    & ( ! [X112] :
          ( c0_1(X112)
          | ~ ndr1_0
          | c2_1(X112)
          | ~ c3_1(X112) )
      | ! [X113] :
          ( c1_1(X113)
          | ~ c0_1(X113)
          | c2_1(X113)
          | ~ ndr1_0 )
      | ! [X114] :
          ( ~ ndr1_0
          | c1_1(X114)
          | ~ c2_1(X114)
          | c3_1(X114) ) )
    & ( ! [X115] :
          ( c1_1(X115)
          | c3_1(X115)
          | ~ ndr1_0
          | c2_1(X115) )
      | ! [X116] :
          ( ~ c2_1(X116)
          | ~ ndr1_0
          | c1_1(X116)
          | ~ c0_1(X116) )
      | hskp17 )
    & ( ~ hskp20
      | ( ndr1_0
        & c3_1(a1465)
        & ~ c1_1(a1465)
        & c2_1(a1465) ) )
    & ( ~ hskp10
      | ( ~ c3_1(a1445)
        & c0_1(a1445)
        & ndr1_0
        & ~ c1_1(a1445) ) )
    & ( hskp13
      | hskp25
      | ! [X117] :
          ( ~ ndr1_0
          | ~ c1_1(X117)
          | ~ c3_1(X117)
          | ~ c2_1(X117) ) )
    & ( ! [X118] :
          ( ~ ndr1_0
          | ~ c3_1(X118)
          | c0_1(X118)
          | ~ c2_1(X118) )
      | ! [X119] :
          ( ~ ndr1_0
          | ~ c0_1(X119)
          | c1_1(X119)
          | c3_1(X119) )
      | hskp12 )
    & ( ( c2_1(a1451)
        & ndr1_0
        & ~ c1_1(a1451)
        & ~ c3_1(a1451) )
      | ~ hskp14 )
    & ( ( ndr1_0
        & ~ c2_1(a1448)
        & c3_1(a1448)
        & c0_1(a1448) )
      | ~ hskp12 )
    & ( ~ hskp6
      | ( c0_1(a1437)
        & ~ c2_1(a1437)
        & ~ c1_1(a1437)
        & ndr1_0 ) )
    & ( hskp11
      | hskp5
      | ! [X120] :
          ( c2_1(X120)
          | c0_1(X120)
          | ~ ndr1_0
          | ~ c3_1(X120) ) )
    & ( ( c1_1(a1449)
        & ~ c3_1(a1449)
        & ndr1_0
        & c2_1(a1449) )
      | ~ hskp13 ) ),
    inference(rectify,[],[f6]) ).

fof(f6,plain,
    ( ( hskp0
      | hskp4
      | ! [X34] :
          ( ~ c2_1(X34)
          | c1_1(X34)
          | ~ ndr1_0
          | c0_1(X34) ) )
    & ( ~ hskp24
      | ( c3_1(a1487)
        & ~ c1_1(a1487)
        & ~ c2_1(a1487)
        & ndr1_0 ) )
    & ( ! [X14] :
          ( c2_1(X14)
          | ~ c3_1(X14)
          | ~ c0_1(X14)
          | ~ ndr1_0 )
      | ! [X15] :
          ( c0_1(X15)
          | ~ ndr1_0
          | c1_1(X15)
          | ~ c2_1(X15) )
      | ! [X16] :
          ( c0_1(X16)
          | ~ c3_1(X16)
          | ~ c1_1(X16)
          | ~ ndr1_0 ) )
    & ( ! [X42] :
          ( ~ c1_1(X42)
          | ~ ndr1_0
          | c0_1(X42)
          | c2_1(X42) )
      | ! [X41] :
          ( ~ c1_1(X41)
          | ~ c3_1(X41)
          | ~ ndr1_0
          | ~ c0_1(X41) )
      | hskp0 )
    & ( hskp5
      | hskp17
      | ! [X17] :
          ( ~ ndr1_0
          | c2_1(X17)
          | ~ c0_1(X17)
          | ~ c3_1(X17) ) )
    & ( ! [X76] :
          ( ~ ndr1_0
          | c1_1(X76)
          | ~ c2_1(X76)
          | c0_1(X76) )
      | hskp0
      | ! [X75] :
          ( c0_1(X75)
          | ~ c3_1(X75)
          | ~ c1_1(X75)
          | ~ ndr1_0 ) )
    & ( ! [X94] :
          ( ~ c3_1(X94)
          | ~ c1_1(X94)
          | c0_1(X94)
          | ~ ndr1_0 )
      | ! [X95] :
          ( ~ c2_1(X95)
          | ~ c0_1(X95)
          | ~ ndr1_0
          | ~ c3_1(X95) )
      | hskp18 )
    & ( ! [X61] :
          ( c1_1(X61)
          | ~ c3_1(X61)
          | c0_1(X61)
          | ~ ndr1_0 )
      | hskp5
      | ! [X60] :
          ( ~ c3_1(X60)
          | ~ ndr1_0
          | c2_1(X60)
          | ~ c0_1(X60) ) )
    & ( hskp11
      | ! [X12] :
          ( c2_1(X12)
          | c3_1(X12)
          | ~ c0_1(X12)
          | ~ ndr1_0 )
      | ! [X13] :
          ( ~ ndr1_0
          | ~ c0_1(X13)
          | ~ c2_1(X13)
          | ~ c3_1(X13) ) )
    & ( hskp16
      | hskp14
      | ! [X115] :
          ( ~ c1_1(X115)
          | ~ c0_1(X115)
          | c3_1(X115)
          | ~ ndr1_0 ) )
    & ( ~ hskp18
      | ( ndr1_0
        & c0_1(a1458)
        & ~ c2_1(a1458)
        & c1_1(a1458) ) )
    & ( ! [X47] :
          ( ~ c3_1(X47)
          | c2_1(X47)
          | ~ c0_1(X47)
          | ~ ndr1_0 )
      | hskp22
      | ! [X46] :
          ( c2_1(X46)
          | ~ c3_1(X46)
          | ~ ndr1_0
          | c1_1(X46) ) )
    & ( ! [X8] :
          ( ~ ndr1_0
          | c0_1(X8)
          | ~ c3_1(X8)
          | c2_1(X8) )
      | ! [X7] :
          ( ~ c1_1(X7)
          | ~ ndr1_0
          | ~ c0_1(X7)
          | c2_1(X7) )
      | hskp10 )
    & ( hskp20
      | ! [X69] :
          ( c1_1(X69)
          | ~ c2_1(X69)
          | ~ c3_1(X69)
          | ~ ndr1_0 )
      | hskp11 )
    & ( ! [X79] :
          ( ~ c0_1(X79)
          | ~ ndr1_0
          | c3_1(X79)
          | ~ c2_1(X79) )
      | hskp21
      | ! [X80] :
          ( ~ c0_1(X80)
          | c1_1(X80)
          | ~ ndr1_0
          | c2_1(X80) ) )
    & ( ~ hskp26
      | ( ~ c0_1(a1517)
        & ndr1_0
        & c2_1(a1517)
        & ~ c3_1(a1517) ) )
    & ( ! [X89] :
          ( ~ ndr1_0
          | c2_1(X89)
          | c1_1(X89)
          | c3_1(X89) )
      | ! [X91] :
          ( c1_1(X91)
          | c0_1(X91)
          | c3_1(X91)
          | ~ ndr1_0 )
      | ! [X90] :
          ( ~ c1_1(X90)
          | c2_1(X90)
          | ~ ndr1_0
          | c3_1(X90) ) )
    & ( ~ hskp4
      | ( ndr1_0
        & ~ c2_1(a1434)
        & c3_1(a1434)
        & c1_1(a1434) ) )
    & ( ! [X25] :
          ( ~ c1_1(X25)
          | ~ c3_1(X25)
          | c2_1(X25)
          | ~ ndr1_0 )
      | hskp12
      | ! [X24] :
          ( ~ c3_1(X24)
          | ~ c2_1(X24)
          | ~ ndr1_0
          | c0_1(X24) ) )
    & ( ! [X106] :
          ( ~ ndr1_0
          | ~ c2_1(X106)
          | c3_1(X106)
          | ~ c0_1(X106) )
      | hskp11
      | hskp12 )
    & ( hskp3
      | hskp22
      | hskp17 )
    & ( hskp12
      | ! [X83] :
          ( ~ ndr1_0
          | c3_1(X83)
          | c2_1(X83)
          | ~ c1_1(X83) )
      | ! [X84] :
          ( ~ ndr1_0
          | ~ c1_1(X84)
          | c0_1(X84)
          | c3_1(X84) ) )
    & ( ! [X38] :
          ( ~ c2_1(X38)
          | c0_1(X38)
          | ~ ndr1_0
          | ~ c1_1(X38) )
      | hskp15
      | ! [X37] :
          ( ~ c2_1(X37)
          | c0_1(X37)
          | ~ ndr1_0
          | ~ c3_1(X37) ) )
    & ( ( ~ c0_1(a1454)
        & ndr1_0
        & c1_1(a1454)
        & ~ c3_1(a1454) )
      | ~ hskp16 )
    & ( ! [X96] :
          ( c3_1(X96)
          | ~ c2_1(X96)
          | ~ ndr1_0
          | c0_1(X96) )
      | hskp9
      | ! [X97] :
          ( c0_1(X97)
          | c2_1(X97)
          | ~ c3_1(X97)
          | ~ ndr1_0 ) )
    & ( ! [X50] :
          ( ~ ndr1_0
          | ~ c3_1(X50)
          | ~ c2_1(X50)
          | c1_1(X50) )
      | hskp23
      | ! [X51] :
          ( c3_1(X51)
          | ~ c0_1(X51)
          | ~ ndr1_0
          | ~ c2_1(X51) ) )
    & ( ! [X44] :
          ( ~ c3_1(X44)
          | ~ c1_1(X44)
          | ~ ndr1_0
          | ~ c0_1(X44) )
      | ! [X45] :
          ( c3_1(X45)
          | ~ c2_1(X45)
          | c1_1(X45)
          | ~ ndr1_0 )
      | hskp13 )
    & ( hskp7
      | ! [X77] :
          ( c0_1(X77)
          | ~ ndr1_0
          | ~ c2_1(X77)
          | ~ c1_1(X77) )
      | ! [X78] :
          ( ~ c0_1(X78)
          | ~ ndr1_0
          | c3_1(X78)
          | c1_1(X78) ) )
    & ( ! [X71] :
          ( c1_1(X71)
          | c2_1(X71)
          | c0_1(X71)
          | ~ ndr1_0 )
      | ! [X70] :
          ( ~ ndr1_0
          | c2_1(X70)
          | c3_1(X70)
          | ~ c0_1(X70) )
      | hskp0 )
    & ( hskp6
      | ! [X120] :
          ( ~ c3_1(X120)
          | c1_1(X120)
          | c2_1(X120)
          | ~ ndr1_0 )
      | ! [X119] :
          ( ~ c3_1(X119)
          | ~ c0_1(X119)
          | ~ c1_1(X119)
          | ~ ndr1_0 ) )
    & ( ! [X73] :
          ( ~ c3_1(X73)
          | ~ ndr1_0
          | c0_1(X73)
          | ~ c1_1(X73) )
      | ! [X74] :
          ( ~ c0_1(X74)
          | ~ ndr1_0
          | c2_1(X74)
          | ~ c3_1(X74) )
      | hskp17 )
    & ( ! [X33] :
          ( ~ c2_1(X33)
          | ~ c0_1(X33)
          | ~ ndr1_0
          | c3_1(X33) )
      | ! [X32] :
          ( c2_1(X32)
          | c1_1(X32)
          | ~ ndr1_0
          | ~ c0_1(X32) )
      | hskp19 )
    & ( hskp0
      | hskp25
      | ! [X103] :
          ( ~ ndr1_0
          | ~ c0_1(X103)
          | ~ c3_1(X103)
          | ~ c1_1(X103) ) )
    & ( ! [X49] :
          ( ~ c1_1(X49)
          | ~ ndr1_0
          | c0_1(X49)
          | c3_1(X49) )
      | hskp1
      | hskp13 )
    & ( hskp12
      | hskp8
      | hskp14 )
    & ( ! [X6] :
          ( ~ ndr1_0
          | ~ c2_1(X6)
          | c1_1(X6)
          | c3_1(X6) )
      | ! [X5] :
          ( c0_1(X5)
          | c1_1(X5)
          | ~ ndr1_0
          | ~ c3_1(X5) )
      | hskp5 )
    & ( ( ~ c0_1(a1438)
        & c3_1(a1438)
        & ndr1_0
        & ~ c1_1(a1438) )
      | ~ hskp7 )
    & ( ! [X63] :
          ( ~ c0_1(X63)
          | ~ ndr1_0
          | c2_1(X63)
          | ~ c1_1(X63) )
      | hskp28
      | ! [X64] :
          ( c0_1(X64)
          | ~ c1_1(X64)
          | ~ c3_1(X64)
          | ~ ndr1_0 ) )
    & ( ! [X112] :
          ( ~ c2_1(X112)
          | c3_1(X112)
          | ~ ndr1_0
          | c1_1(X112) )
      | ! [X114] :
          ( ~ c0_1(X114)
          | c3_1(X114)
          | c2_1(X114)
          | ~ ndr1_0 )
      | ! [X113] :
          ( ~ ndr1_0
          | c0_1(X113)
          | ~ c1_1(X113)
          | ~ c2_1(X113) ) )
    & ( hskp24
      | hskp4
      | ! [X65] :
          ( c3_1(X65)
          | c2_1(X65)
          | ~ ndr1_0
          | ~ c0_1(X65) ) )
    & ( hskp18
      | ! [X35] :
          ( ~ c1_1(X35)
          | ~ ndr1_0
          | c2_1(X35)
          | c3_1(X35) )
      | ! [X36] :
          ( c3_1(X36)
          | ~ ndr1_0
          | c2_1(X36)
          | ~ c0_1(X36) ) )
    & ( ~ hskp15
      | ( c0_1(a1452)
        & ~ c3_1(a1452)
        & ndr1_0
        & c1_1(a1452) ) )
    & ( ! [X48] :
          ( ~ c3_1(X48)
          | ~ ndr1_0
          | c1_1(X48)
          | c0_1(X48) )
      | hskp6
      | hskp7 )
    & ( hskp14
      | hskp19
      | hskp8 )
    & ( ! [X27] :
          ( ~ ndr1_0
          | ~ c3_1(X27)
          | c1_1(X27)
          | ~ c2_1(X27) )
      | hskp8
      | ! [X26] :
          ( ~ ndr1_0
          | c3_1(X26)
          | ~ c1_1(X26)
          | ~ c0_1(X26) ) )
    & ( ! [X18] :
          ( ~ c2_1(X18)
          | ~ ndr1_0
          | c0_1(X18)
          | ~ c1_1(X18) )
      | hskp16
      | hskp7 )
    & ( ! [X81] :
          ( ~ ndr1_0
          | c3_1(X81)
          | ~ c0_1(X81)
          | c2_1(X81) )
      | hskp4
      | hskp7 )
    & ( hskp1
      | hskp2
      | ! [X62] :
          ( ~ ndr1_0
          | c0_1(X62)
          | c2_1(X62)
          | c1_1(X62) ) )
    & ( hskp10
      | hskp12
      | hskp1 )
    & ( ! [X23] :
          ( c0_1(X23)
          | c2_1(X23)
          | ~ ndr1_0
          | c3_1(X23) )
      | hskp2
      | ! [X22] :
          ( ~ ndr1_0
          | c3_1(X22)
          | c1_1(X22)
          | c2_1(X22) ) )
    & ( ! [X19] :
          ( ~ c2_1(X19)
          | ~ ndr1_0
          | c1_1(X19)
          | ~ c3_1(X19) )
      | ! [X21] :
          ( ~ ndr1_0
          | c1_1(X21)
          | c2_1(X21)
          | ~ c3_1(X21) )
      | ! [X20] :
          ( ~ c0_1(X20)
          | ~ c2_1(X20)
          | ~ c1_1(X20)
          | ~ ndr1_0 ) )
    & ( ! [X55] :
          ( c0_1(X55)
          | ~ c3_1(X55)
          | ~ ndr1_0
          | ~ c1_1(X55) )
      | hskp3
      | hskp19 )
    & ( hskp20
      | ! [X93] :
          ( ~ c0_1(X93)
          | ~ ndr1_0
          | c2_1(X93)
          | c1_1(X93) )
      | ! [X92] :
          ( c3_1(X92)
          | ~ ndr1_0
          | ~ c1_1(X92)
          | ~ c0_1(X92) ) )
    & ( ~ hskp27
      | ( c0_1(a1428)
        & c2_1(a1428)
        & c3_1(a1428)
        & ndr1_0 ) )
    & ( ( c3_1(a1441)
        & c0_1(a1441)
        & ~ c1_1(a1441)
        & ndr1_0 )
      | ~ hskp8 )
    & ( ~ hskp23
      | ( c2_1(a1477)
        & ndr1_0
        & ~ c0_1(a1477)
        & ~ c1_1(a1477) ) )
    & ( hskp21
      | hskp9
      | ! [X52] :
          ( ~ c2_1(X52)
          | ~ c1_1(X52)
          | ~ c0_1(X52)
          | ~ ndr1_0 ) )
    & ( ! [X87] :
          ( ~ c2_1(X87)
          | c3_1(X87)
          | ~ ndr1_0
          | ~ c1_1(X87) )
      | hskp23
      | ! [X86] :
          ( ~ ndr1_0
          | ~ c1_1(X86)
          | ~ c2_1(X86)
          | ~ c0_1(X86) ) )
    & ( ( ~ c3_1(a1447)
        & ~ c2_1(a1447)
        & ndr1_0
        & ~ c0_1(a1447) )
      | ~ hskp11 )
    & ( ~ hskp30
      | ( c0_1(a1507)
        & c2_1(a1507)
        & c1_1(a1507)
        & ndr1_0 ) )
    & ( hskp0
      | hskp3
      | ! [X88] :
          ( ~ c1_1(X88)
          | ~ ndr1_0
          | c3_1(X88)
          | c2_1(X88) ) )
    & ( hskp25
      | hskp17
      | hskp2 )
    & ( ! [X108] :
          ( c1_1(X108)
          | ~ ndr1_0
          | ~ c3_1(X108)
          | c2_1(X108) )
      | ! [X109] :
          ( c3_1(X109)
          | ~ ndr1_0
          | ~ c0_1(X109)
          | ~ c1_1(X109) )
      | ! [X107] :
          ( ~ c0_1(X107)
          | ~ c2_1(X107)
          | ~ ndr1_0
          | ~ c3_1(X107) ) )
    & ( hskp14
      | ! [X31] :
          ( c3_1(X31)
          | ~ ndr1_0
          | ~ c2_1(X31)
          | c0_1(X31) )
      | ! [X30] :
          ( ~ c2_1(X30)
          | ~ c1_1(X30)
          | ~ ndr1_0
          | c0_1(X30) ) )
    & ( hskp27
      | ! [X104] :
          ( ~ c2_1(X104)
          | ~ ndr1_0
          | ~ c1_1(X104)
          | ~ c3_1(X104) )
      | ! [X105] :
          ( c0_1(X105)
          | ~ ndr1_0
          | c1_1(X105)
          | c2_1(X105) ) )
    & ( ! [X28] :
          ( c2_1(X28)
          | ~ c1_1(X28)
          | c3_1(X28)
          | ~ ndr1_0 )
      | ! [X29] :
          ( c2_1(X29)
          | ~ ndr1_0
          | ~ c3_1(X29)
          | ~ c1_1(X29) )
      | hskp7 )
    & ( ! [X99] :
          ( c1_1(X99)
          | ~ ndr1_0
          | c2_1(X99)
          | c0_1(X99) )
      | ! [X98] :
          ( ~ ndr1_0
          | c3_1(X98)
          | c1_1(X98)
          | ~ c0_1(X98) )
      | ! [X100] :
          ( ~ ndr1_0
          | ~ c2_1(X100)
          | ~ c0_1(X100)
          | c1_1(X100) ) )
    & ( ! [X110] :
          ( c2_1(X110)
          | ~ c3_1(X110)
          | ~ c1_1(X110)
          | ~ ndr1_0 )
      | hskp0
      | ! [X111] :
          ( ~ ndr1_0
          | c2_1(X111)
          | c0_1(X111)
          | c3_1(X111) ) )
    & ( ~ hskp29
      | ( c3_1(a1483)
        & c1_1(a1483)
        & ndr1_0
        & c2_1(a1483) ) )
    & ( ( ~ c2_1(a1430)
        & ndr1_0
        & ~ c0_1(a1430)
        & c3_1(a1430) )
      | ~ hskp2 )
    & ( hskp8
      | hskp7
      | ! [X43] :
          ( ~ ndr1_0
          | c3_1(X43)
          | c2_1(X43)
          | c0_1(X43) ) )
    & ( hskp29
      | hskp26
      | hskp0 )
    & ( ~ hskp5
      | ( ~ c3_1(a1435)
        & c0_1(a1435)
        & ndr1_0
        & ~ c2_1(a1435) ) )
    & ( ( ~ c2_1(a1444)
        & ~ c1_1(a1444)
        & ndr1_0
        & ~ c3_1(a1444) )
      | ~ hskp9 )
    & ( hskp24
      | hskp30 )
    & ( hskp27
      | hskp15
      | hskp13 )
    & ( ! [X3] :
          ( c1_1(X3)
          | c2_1(X3)
          | ~ ndr1_0
          | c3_1(X3) )
      | hskp7
      | ! [X4] :
          ( ~ c2_1(X4)
          | ~ c1_1(X4)
          | ~ ndr1_0
          | ~ c3_1(X4) ) )
    & ( ! [X68] :
          ( c2_1(X68)
          | ~ ndr1_0
          | c3_1(X68)
          | ~ c0_1(X68) )
      | hskp29
      | hskp5 )
    & ( ~ hskp28
      | ( ndr1_0
        & c1_1(a1456)
        & c0_1(a1456)
        & c3_1(a1456) ) )
    & ( hskp9
      | hskp22
      | ! [X72] :
          ( c2_1(X72)
          | c1_1(X72)
          | ~ c3_1(X72)
          | ~ ndr1_0 ) )
    & ( ! [X1] :
          ( ~ c3_1(X1)
          | ~ ndr1_0
          | ~ c0_1(X1)
          | ~ c2_1(X1) )
      | ! [X0] :
          ( ~ c3_1(X0)
          | ~ c2_1(X0)
          | c1_1(X0)
          | ~ ndr1_0 )
      | ! [X2] :
          ( ~ ndr1_0
          | c1_1(X2)
          | ~ c2_1(X2)
          | c0_1(X2) ) )
    & ( ~ hskp19
      | ( ~ c3_1(a1460)
        & ndr1_0
        & ~ c1_1(a1460)
        & ~ c0_1(a1460) ) )
    & ( hskp30
      | hskp3
      | hskp8 )
    & ( ~ hskp17
      | ( ~ c2_1(a1457)
        & ~ c1_1(a1457)
        & ndr1_0
        & ~ c0_1(a1457) ) )
    & ( hskp12
      | ! [X67] :
          ( ~ c1_1(X67)
          | c3_1(X67)
          | ~ ndr1_0
          | ~ c2_1(X67) )
      | ! [X66] :
          ( c2_1(X66)
          | ~ ndr1_0
          | c3_1(X66)
          | ~ c1_1(X66) ) )
    & ( hskp11
      | ! [X82] :
          ( ~ c0_1(X82)
          | ~ ndr1_0
          | c1_1(X82)
          | ~ c2_1(X82) )
      | hskp0 )
    & ( ( ~ c1_1(a1466)
        & c0_1(a1466)
        & c2_1(a1466)
        & ndr1_0 )
      | ~ hskp21 )
    & ( ~ hskp0
      | ( c0_1(a1427)
        & ndr1_0
        & c2_1(a1427)
        & ~ c3_1(a1427) ) )
    & ( hskp22
      | ! [X59] :
          ( c3_1(X59)
          | ~ c1_1(X59)
          | ~ ndr1_0
          | ~ c0_1(X59) )
      | hskp3 )
    & ( ~ hskp1
      | ( c3_1(a1429)
        & ndr1_0
        & c2_1(a1429)
        & ~ c0_1(a1429) ) )
    & ( ( ~ c0_1(a1468)
        & c1_1(a1468)
        & ndr1_0
        & c3_1(a1468) )
      | ~ hskp22 )
    & ( ~ hskp25
      | ( ndr1_0
        & c1_1(a1504)
        & c2_1(a1504)
        & ~ c0_1(a1504) ) )
    & ( hskp28
      | ! [X39] :
          ( ~ c3_1(X39)
          | ~ c0_1(X39)
          | ~ ndr1_0
          | c1_1(X39) )
      | ! [X40] :
          ( ~ c3_1(X40)
          | ~ ndr1_0
          | ~ c1_1(X40)
          | ~ c2_1(X40) ) )
    & ( ~ hskp3
      | ( ~ c2_1(a1431)
        & ndr1_0
        & ~ c0_1(a1431)
        & c1_1(a1431) ) )
    & ( ! [X10] :
          ( c1_1(X10)
          | ~ c3_1(X10)
          | ~ ndr1_0
          | c0_1(X10) )
      | ! [X9] :
          ( ~ c2_1(X9)
          | ~ ndr1_0
          | c1_1(X9)
          | c3_1(X9) )
      | ! [X11] :
          ( c3_1(X11)
          | c1_1(X11)
          | c2_1(X11)
          | ~ ndr1_0 ) )
    & ( hskp3
      | ! [X102] :
          ( ~ ndr1_0
          | c0_1(X102)
          | ~ c3_1(X102)
          | ~ c1_1(X102) )
      | ! [X101] :
          ( ~ ndr1_0
          | c1_1(X101)
          | c3_1(X101)
          | c0_1(X101) ) )
    & ( ! [X56] :
          ( c0_1(X56)
          | ~ ndr1_0
          | c2_1(X56)
          | ~ c3_1(X56) )
      | ! [X57] :
          ( c1_1(X57)
          | ~ c0_1(X57)
          | c2_1(X57)
          | ~ ndr1_0 )
      | ! [X58] :
          ( ~ ndr1_0
          | c1_1(X58)
          | ~ c2_1(X58)
          | c3_1(X58) ) )
    & ( ! [X54] :
          ( c1_1(X54)
          | c3_1(X54)
          | ~ ndr1_0
          | c2_1(X54) )
      | ! [X53] :
          ( ~ c2_1(X53)
          | ~ ndr1_0
          | c1_1(X53)
          | ~ c0_1(X53) )
      | hskp17 )
    & ( ~ hskp20
      | ( ndr1_0
        & c3_1(a1465)
        & ~ c1_1(a1465)
        & c2_1(a1465) ) )
    & ( ~ hskp10
      | ( ~ c3_1(a1445)
        & c0_1(a1445)
        & ndr1_0
        & ~ c1_1(a1445) ) )
    & ( hskp13
      | hskp25
      | ! [X118] :
          ( ~ ndr1_0
          | ~ c1_1(X118)
          | ~ c3_1(X118)
          | ~ c2_1(X118) ) )
    & ( ! [X116] :
          ( ~ ndr1_0
          | ~ c3_1(X116)
          | c0_1(X116)
          | ~ c2_1(X116) )
      | ! [X117] :
          ( ~ ndr1_0
          | ~ c0_1(X117)
          | c1_1(X117)
          | c3_1(X117) )
      | hskp12 )
    & ( ( c2_1(a1451)
        & ndr1_0
        & ~ c1_1(a1451)
        & ~ c3_1(a1451) )
      | ~ hskp14 )
    & ( ( ndr1_0
        & ~ c2_1(a1448)
        & c3_1(a1448)
        & c0_1(a1448) )
      | ~ hskp12 )
    & ( ~ hskp6
      | ( c0_1(a1437)
        & ~ c2_1(a1437)
        & ~ c1_1(a1437)
        & ndr1_0 ) )
    & ( hskp11
      | hskp5
      | ! [X85] :
          ( c2_1(X85)
          | c0_1(X85)
          | ~ ndr1_0
          | ~ c3_1(X85) ) )
    & ( ( c1_1(a1449)
        & ~ c3_1(a1449)
        & ndr1_0
        & c2_1(a1449) )
      | ~ hskp13 ) ),
    inference(flattening,[],[f5]) ).

fof(f5,plain,
    ( ( ! [X99] :
          ( c2_1(X99)
          | c0_1(X99)
          | c1_1(X99)
          | ~ ndr1_0 )
      | ! [X100] :
          ( ~ c0_1(X100)
          | c1_1(X100)
          | ~ c2_1(X100)
          | ~ ndr1_0 )
      | ! [X98] :
          ( c3_1(X98)
          | ~ c0_1(X98)
          | c1_1(X98)
          | ~ ndr1_0 ) )
    & ( hskp25
      | hskp17
      | hskp2 )
    & ( hskp17
      | ! [X74] :
          ( c2_1(X74)
          | ~ c3_1(X74)
          | ~ c0_1(X74)
          | ~ ndr1_0 )
      | ! [X73] :
          ( c0_1(X73)
          | ~ c1_1(X73)
          | ~ c3_1(X73)
          | ~ ndr1_0 ) )
    & ( ~ hskp23
      | ( c2_1(a1477)
        & ndr1_0
        & ~ c0_1(a1477)
        & ~ c1_1(a1477) ) )
    & ( ( ~ c3_1(a1447)
        & ~ c2_1(a1447)
        & ndr1_0
        & ~ c0_1(a1447) )
      | ~ hskp11 )
    & ( ! [X84] :
          ( c0_1(X84)
          | ~ c1_1(X84)
          | c3_1(X84)
          | ~ ndr1_0 )
      | ! [X83] :
          ( c3_1(X83)
          | c2_1(X83)
          | ~ c1_1(X83)
          | ~ ndr1_0 )
      | hskp12 )
    & ( ( ~ c0_1(a1454)
        & ndr1_0
        & c1_1(a1454)
        & ~ c3_1(a1454) )
      | ~ hskp16 )
    & ( ! [X114] :
          ( c3_1(X114)
          | c2_1(X114)
          | ~ c0_1(X114)
          | ~ ndr1_0 )
      | ! [X113] :
          ( c0_1(X113)
          | ~ c1_1(X113)
          | ~ c2_1(X113)
          | ~ ndr1_0 )
      | ! [X112] :
          ( c1_1(X112)
          | c3_1(X112)
          | ~ c2_1(X112)
          | ~ ndr1_0 ) )
    & ( ! [X80] :
          ( ~ c0_1(X80)
          | c1_1(X80)
          | c2_1(X80)
          | ~ ndr1_0 )
      | ! [X79] :
          ( c3_1(X79)
          | ~ c0_1(X79)
          | ~ c2_1(X79)
          | ~ ndr1_0 )
      | hskp21 )
    & ( ( c1_1(a1449)
        & ~ c3_1(a1449)
        & ndr1_0
        & c2_1(a1449) )
      | ~ hskp13 )
    & ( ! [X23] :
          ( c3_1(X23)
          | c0_1(X23)
          | c2_1(X23)
          | ~ ndr1_0 )
      | hskp2
      | ! [X22] :
          ( c3_1(X22)
          | c2_1(X22)
          | c1_1(X22)
          | ~ ndr1_0 ) )
    & ( ~ hskp28
      | ( ndr1_0
        & c1_1(a1456)
        & c0_1(a1456)
        & c3_1(a1456) ) )
    & ( ! [X33] :
          ( c3_1(X33)
          | ~ c2_1(X33)
          | ~ c0_1(X33)
          | ~ ndr1_0 )
      | hskp19
      | ! [X32] :
          ( c2_1(X32)
          | ~ c0_1(X32)
          | c1_1(X32)
          | ~ ndr1_0 ) )
    & ( ! [X65] :
          ( ~ c0_1(X65)
          | c2_1(X65)
          | c3_1(X65)
          | ~ ndr1_0 )
      | hskp4
      | hskp24 )
    & ( ! [X43] :
          ( c2_1(X43)
          | c0_1(X43)
          | c3_1(X43)
          | ~ ndr1_0 )
      | hskp7
      | hskp8 )
    & ( ! [X109] :
          ( c3_1(X109)
          | ~ c1_1(X109)
          | ~ c0_1(X109)
          | ~ ndr1_0 )
      | ! [X108] :
          ( ~ c3_1(X108)
          | c2_1(X108)
          | c1_1(X108)
          | ~ ndr1_0 )
      | ! [X107] :
          ( ~ c0_1(X107)
          | ~ c2_1(X107)
          | ~ c3_1(X107)
          | ~ ndr1_0 ) )
    & ( ~ hskp17
      | ( ~ c2_1(a1457)
        & ~ c1_1(a1457)
        & ndr1_0
        & ~ c0_1(a1457) ) )
    & ( ~ hskp15
      | ( c0_1(a1452)
        & ~ c3_1(a1452)
        & ndr1_0
        & c1_1(a1452) ) )
    & ( hskp10
      | hskp12
      | hskp1 )
    & ( hskp30
      | hskp3
      | hskp8 )
    & ( hskp22
      | ! [X72] :
          ( c1_1(X72)
          | c2_1(X72)
          | ~ c3_1(X72)
          | ~ ndr1_0 )
      | hskp9 )
    & ( ( ~ c2_1(a1430)
        & ndr1_0
        & ~ c0_1(a1430)
        & c3_1(a1430) )
      | ~ hskp2 )
    & ( ! [X103] :
          ( ~ c1_1(X103)
          | ~ c3_1(X103)
          | ~ c0_1(X103)
          | ~ ndr1_0 )
      | hskp25
      | hskp0 )
    & ( ~ hskp20
      | ( ndr1_0
        & c3_1(a1465)
        & ~ c1_1(a1465)
        & c2_1(a1465) ) )
    & ( hskp0
      | ! [X41] :
          ( ~ c3_1(X41)
          | ~ c1_1(X41)
          | ~ c0_1(X41)
          | ~ ndr1_0 )
      | ! [X42] :
          ( ~ c1_1(X42)
          | c0_1(X42)
          | c2_1(X42)
          | ~ ndr1_0 ) )
    & ( ~ hskp3
      | ( ~ c2_1(a1431)
        & ndr1_0
        & ~ c0_1(a1431)
        & c1_1(a1431) ) )
    & ( ( c2_1(a1451)
        & ndr1_0
        & ~ c1_1(a1451)
        & ~ c3_1(a1451) )
      | ~ hskp14 )
    & ( ~ hskp1
      | ( c3_1(a1429)
        & ndr1_0
        & c2_1(a1429)
        & ~ c0_1(a1429) ) )
    & ( hskp14
      | hskp19
      | hskp8 )
    & ( ~ hskp5
      | ( ~ c3_1(a1435)
        & c0_1(a1435)
        & ndr1_0
        & ~ c2_1(a1435) ) )
    & ( hskp21
      | ! [X52] :
          ( ~ c2_1(X52)
          | ~ c0_1(X52)
          | ~ c1_1(X52)
          | ~ ndr1_0 )
      | hskp9 )
    & ( ! [X44] :
          ( ~ c3_1(X44)
          | ~ c0_1(X44)
          | ~ c1_1(X44)
          | ~ ndr1_0 )
      | ! [X45] :
          ( c1_1(X45)
          | c3_1(X45)
          | ~ c2_1(X45)
          | ~ ndr1_0 )
      | hskp13 )
    & ( ! [X31] :
          ( c3_1(X31)
          | c0_1(X31)
          | ~ c2_1(X31)
          | ~ ndr1_0 )
      | ! [X30] :
          ( c0_1(X30)
          | ~ c2_1(X30)
          | ~ c1_1(X30)
          | ~ ndr1_0 )
      | hskp14 )
    & ( ! [X120] :
          ( c1_1(X120)
          | c2_1(X120)
          | ~ c3_1(X120)
          | ~ ndr1_0 )
      | hskp6
      | ! [X119] :
          ( ~ c3_1(X119)
          | ~ c1_1(X119)
          | ~ c0_1(X119)
          | ~ ndr1_0 ) )
    & ( hskp14
      | hskp16
      | ! [X115] :
          ( ~ c0_1(X115)
          | c3_1(X115)
          | ~ c1_1(X115)
          | ~ ndr1_0 ) )
    & ( hskp5
      | ! [X17] :
          ( c2_1(X17)
          | ~ c3_1(X17)
          | ~ c0_1(X17)
          | ~ ndr1_0 )
      | hskp17 )
    & ( ! [X118] :
          ( ~ c3_1(X118)
          | ~ c2_1(X118)
          | ~ c1_1(X118)
          | ~ ndr1_0 )
      | hskp25
      | hskp13 )
    & ( hskp12
      | hskp8
      | hskp14 )
    & ( ! [X81] :
          ( c3_1(X81)
          | ~ c0_1(X81)
          | c2_1(X81)
          | ~ ndr1_0 )
      | hskp7
      | hskp4 )
    & ( ! [X57] :
          ( c1_1(X57)
          | ~ c0_1(X57)
          | c2_1(X57)
          | ~ ndr1_0 )
      | ! [X56] :
          ( c0_1(X56)
          | ~ c3_1(X56)
          | c2_1(X56)
          | ~ ndr1_0 )
      | ! [X58] :
          ( ~ c2_1(X58)
          | c3_1(X58)
          | c1_1(X58)
          | ~ ndr1_0 ) )
    & ( ! [X69] :
          ( c1_1(X69)
          | ~ c2_1(X69)
          | ~ c3_1(X69)
          | ~ ndr1_0 )
      | hskp11
      | hskp20 )
    & ( hskp3
      | ! [X102] :
          ( c0_1(X102)
          | ~ c3_1(X102)
          | ~ c1_1(X102)
          | ~ ndr1_0 )
      | ! [X101] :
          ( c3_1(X101)
          | c0_1(X101)
          | c1_1(X101)
          | ~ ndr1_0 ) )
    & ( ! [X27] :
          ( ~ c2_1(X27)
          | c1_1(X27)
          | ~ c3_1(X27)
          | ~ ndr1_0 )
      | hskp8
      | ! [X26] :
          ( ~ c1_1(X26)
          | c3_1(X26)
          | ~ c0_1(X26)
          | ~ ndr1_0 ) )
    & ( ~ hskp0
      | ( c0_1(a1427)
        & ndr1_0
        & c2_1(a1427)
        & ~ c3_1(a1427) ) )
    & ( ( ~ c1_1(a1466)
        & c0_1(a1466)
        & c2_1(a1466)
        & ndr1_0 )
      | ~ hskp21 )
    & ( ~ hskp18
      | ( ndr1_0
        & c0_1(a1458)
        & ~ c2_1(a1458)
        & c1_1(a1458) ) )
    & ( ~ hskp10
      | ( ~ c3_1(a1445)
        & c0_1(a1445)
        & ndr1_0
        & ~ c1_1(a1445) ) )
    & ( ! [X116] :
          ( ~ c2_1(X116)
          | c0_1(X116)
          | ~ c3_1(X116)
          | ~ ndr1_0 )
      | hskp12
      | ! [X117] :
          ( c3_1(X117)
          | ~ c0_1(X117)
          | c1_1(X117)
          | ~ ndr1_0 ) )
    & ( ! [X82] :
          ( ~ c2_1(X82)
          | ~ c0_1(X82)
          | c1_1(X82)
          | ~ ndr1_0 )
      | hskp0
      | hskp11 )
    & ( hskp6
      | ! [X48] :
          ( ~ c3_1(X48)
          | c1_1(X48)
          | c0_1(X48)
          | ~ ndr1_0 )
      | hskp7 )
    & ( ! [X24] :
          ( ~ c3_1(X24)
          | c0_1(X24)
          | ~ c2_1(X24)
          | ~ ndr1_0 )
      | ! [X25] :
          ( ~ c1_1(X25)
          | ~ c3_1(X25)
          | c2_1(X25)
          | ~ ndr1_0 )
      | hskp12 )
    & ( hskp3
      | hskp22
      | hskp17 )
    & ( ~ hskp29
      | ( c3_1(a1483)
        & c1_1(a1483)
        & ndr1_0
        & c2_1(a1483) ) )
    & ( hskp19
      | hskp3
      | ! [X55] :
          ( ~ c1_1(X55)
          | ~ c3_1(X55)
          | c0_1(X55)
          | ~ ndr1_0 ) )
    & ( ~ hskp30
      | ( c0_1(a1507)
        & c2_1(a1507)
        & c1_1(a1507)
        & ndr1_0 ) )
    & ( hskp27
      | hskp15
      | hskp13 )
    & ( ! [X40] :
          ( ~ c2_1(X40)
          | ~ c3_1(X40)
          | ~ c1_1(X40)
          | ~ ndr1_0 )
      | ! [X39] :
          ( ~ c0_1(X39)
          | c1_1(X39)
          | ~ c3_1(X39)
          | ~ ndr1_0 )
      | hskp28 )
    & ( ! [X11] :
          ( c3_1(X11)
          | c2_1(X11)
          | c1_1(X11)
          | ~ ndr1_0 )
      | ! [X10] :
          ( c1_1(X10)
          | ~ c3_1(X10)
          | c0_1(X10)
          | ~ ndr1_0 )
      | ! [X9] :
          ( c3_1(X9)
          | c1_1(X9)
          | ~ c2_1(X9)
          | ~ ndr1_0 ) )
    & ( ! [X90] :
          ( ~ c1_1(X90)
          | c3_1(X90)
          | c2_1(X90)
          | ~ ndr1_0 )
      | ! [X91] :
          ( c0_1(X91)
          | c1_1(X91)
          | c3_1(X91)
          | ~ ndr1_0 )
      | ! [X89] :
          ( c3_1(X89)
          | c2_1(X89)
          | c1_1(X89)
          | ~ ndr1_0 ) )
    & ( ! [X19] :
          ( ~ c2_1(X19)
          | ~ c3_1(X19)
          | c1_1(X19)
          | ~ ndr1_0 )
      | ! [X21] :
          ( c2_1(X21)
          | ~ c3_1(X21)
          | c1_1(X21)
          | ~ ndr1_0 )
      | ! [X20] :
          ( ~ c2_1(X20)
          | ~ c1_1(X20)
          | ~ c0_1(X20)
          | ~ ndr1_0 ) )
    & ( hskp5
      | ! [X5] :
          ( c1_1(X5)
          | ~ c3_1(X5)
          | c0_1(X5)
          | ~ ndr1_0 )
      | ! [X6] :
          ( c3_1(X6)
          | ~ c2_1(X6)
          | c1_1(X6)
          | ~ ndr1_0 ) )
    & ( hskp23
      | ! [X51] :
          ( c3_1(X51)
          | ~ c2_1(X51)
          | ~ c0_1(X51)
          | ~ ndr1_0 )
      | ! [X50] :
          ( ~ c3_1(X50)
          | c1_1(X50)
          | ~ c2_1(X50)
          | ~ ndr1_0 ) )
    & ( ~ hskp4
      | ( ndr1_0
        & ~ c2_1(a1434)
        & c3_1(a1434)
        & c1_1(a1434) ) )
    & ( ! [X67] :
          ( ~ c1_1(X67)
          | ~ c2_1(X67)
          | c3_1(X67)
          | ~ ndr1_0 )
      | ! [X66] :
          ( c2_1(X66)
          | c3_1(X66)
          | ~ c1_1(X66)
          | ~ ndr1_0 )
      | hskp12 )
    & ( hskp2
      | hskp1
      | ! [X62] :
          ( c2_1(X62)
          | c0_1(X62)
          | c1_1(X62)
          | ~ ndr1_0 ) )
    & ( ! [X37] :
          ( ~ c2_1(X37)
          | ~ c3_1(X37)
          | c0_1(X37)
          | ~ ndr1_0 )
      | hskp15
      | ! [X38] :
          ( c0_1(X38)
          | ~ c2_1(X38)
          | ~ c1_1(X38)
          | ~ ndr1_0 ) )
    & ( ! [X94] :
          ( ~ c1_1(X94)
          | ~ c3_1(X94)
          | c0_1(X94)
          | ~ ndr1_0 )
      | ! [X95] :
          ( ~ c2_1(X95)
          | ~ c0_1(X95)
          | ~ c3_1(X95)
          | ~ ndr1_0 )
      | hskp18 )
    & ( ! [X75] :
          ( c0_1(X75)
          | ~ c3_1(X75)
          | ~ c1_1(X75)
          | ~ ndr1_0 )
      | ! [X76] :
          ( ~ c2_1(X76)
          | c1_1(X76)
          | c0_1(X76)
          | ~ ndr1_0 )
      | hskp0 )
    & ( ! [X3] :
          ( c2_1(X3)
          | c1_1(X3)
          | c3_1(X3)
          | ~ ndr1_0 )
      | hskp7
      | ! [X4] :
          ( ~ c1_1(X4)
          | ~ c3_1(X4)
          | ~ c2_1(X4)
          | ~ ndr1_0 ) )
    & ( ! [X60] :
          ( c2_1(X60)
          | ~ c3_1(X60)
          | ~ c0_1(X60)
          | ~ ndr1_0 )
      | ! [X61] :
          ( c0_1(X61)
          | ~ c3_1(X61)
          | c1_1(X61)
          | ~ ndr1_0 )
      | hskp5 )
    & ( ! [X28] :
          ( ~ c1_1(X28)
          | c2_1(X28)
          | c3_1(X28)
          | ~ ndr1_0 )
      | ! [X29] :
          ( ~ c3_1(X29)
          | c2_1(X29)
          | ~ c1_1(X29)
          | ~ ndr1_0 )
      | hskp7 )
    & ( ( ndr1_0
        & ~ c2_1(a1448)
        & c3_1(a1448)
        & c0_1(a1448) )
      | ~ hskp12 )
    & ( ~ hskp26
      | ( ~ c0_1(a1517)
        & ndr1_0
        & c2_1(a1517)
        & ~ c3_1(a1517) ) )
    & ( ( ~ c0_1(a1468)
        & c1_1(a1468)
        & ndr1_0
        & c3_1(a1468) )
      | ~ hskp22 )
    & ( ! [X34] :
          ( ~ c2_1(X34)
          | c1_1(X34)
          | c0_1(X34)
          | ~ ndr1_0 )
      | hskp4
      | hskp0 )
    & ( ~ hskp25
      | ( ndr1_0
        & c1_1(a1504)
        & c2_1(a1504)
        & ~ c0_1(a1504) ) )
    & ( hskp29
      | hskp26
      | hskp0 )
    & ( hskp18
      | ! [X35] :
          ( ~ c1_1(X35)
          | c3_1(X35)
          | c2_1(X35)
          | ~ ndr1_0 )
      | ! [X36] :
          ( c3_1(X36)
          | c2_1(X36)
          | ~ c0_1(X36)
          | ~ ndr1_0 ) )
    & ( ( ~ c2_1(a1444)
        & ~ c1_1(a1444)
        & ndr1_0
        & ~ c3_1(a1444) )
      | ~ hskp9 )
    & ( ( c3_1(a1441)
        & c0_1(a1441)
        & ~ c1_1(a1441)
        & ndr1_0 )
      | ~ hskp8 )
    & ( hskp27
      | ! [X104] :
          ( ~ c3_1(X104)
          | ~ c1_1(X104)
          | ~ c2_1(X104)
          | ~ ndr1_0 )
      | ! [X105] :
          ( c2_1(X105)
          | c1_1(X105)
          | c0_1(X105)
          | ~ ndr1_0 ) )
    & ( hskp16
      | ! [X18] :
          ( ~ c1_1(X18)
          | c0_1(X18)
          | ~ c2_1(X18)
          | ~ ndr1_0 )
      | hskp7 )
    & ( ( ~ c0_1(a1438)
        & c3_1(a1438)
        & ndr1_0
        & ~ c1_1(a1438) )
      | ~ hskp7 )
    & ( ! [X47] :
          ( c2_1(X47)
          | ~ c3_1(X47)
          | ~ c0_1(X47)
          | ~ ndr1_0 )
      | hskp22
      | ! [X46] :
          ( c1_1(X46)
          | ~ c3_1(X46)
          | c2_1(X46)
          | ~ ndr1_0 ) )
    & ( ~ hskp19
      | ( ~ c3_1(a1460)
        & ndr1_0
        & ~ c1_1(a1460)
        & ~ c0_1(a1460) ) )
    & ( hskp13
      | ! [X49] :
          ( c0_1(X49)
          | c3_1(X49)
          | ~ c1_1(X49)
          | ~ ndr1_0 )
      | hskp1 )
    & ( ! [X71] :
          ( c1_1(X71)
          | c2_1(X71)
          | c0_1(X71)
          | ~ ndr1_0 )
      | hskp0
      | ! [X70] :
          ( c3_1(X70)
          | ~ c0_1(X70)
          | c2_1(X70)
          | ~ ndr1_0 ) )
    & ( ~ hskp24
      | ( c3_1(a1487)
        & ~ c1_1(a1487)
        & ~ c2_1(a1487)
        & ndr1_0 ) )
    & ( ! [X16] :
          ( ~ c3_1(X16)
          | c0_1(X16)
          | ~ c1_1(X16)
          | ~ ndr1_0 )
      | ! [X15] :
          ( ~ c2_1(X15)
          | c0_1(X15)
          | c1_1(X15)
          | ~ ndr1_0 )
      | ! [X14] :
          ( ~ c0_1(X14)
          | ~ c3_1(X14)
          | c2_1(X14)
          | ~ ndr1_0 ) )
    & ( hskp28
      | ! [X63] :
          ( c2_1(X63)
          | ~ c1_1(X63)
          | ~ c0_1(X63)
          | ~ ndr1_0 )
      | ! [X64] :
          ( ~ c1_1(X64)
          | ~ c3_1(X64)
          | c0_1(X64)
          | ~ ndr1_0 ) )
    & ( ! [X106] :
          ( c3_1(X106)
          | ~ c0_1(X106)
          | ~ c2_1(X106)
          | ~ ndr1_0 )
      | hskp12
      | hskp11 )
    & ( ! [X88] :
          ( c3_1(X88)
          | c2_1(X88)
          | ~ c1_1(X88)
          | ~ ndr1_0 )
      | hskp0
      | hskp3 )
    & ( hskp11
      | ! [X12] :
          ( c3_1(X12)
          | ~ c0_1(X12)
          | c2_1(X12)
          | ~ ndr1_0 )
      | ! [X13] :
          ( ~ c0_1(X13)
          | ~ c3_1(X13)
          | ~ c2_1(X13)
          | ~ ndr1_0 ) )
    & ( hskp5
      | hskp11
      | ! [X85] :
          ( c0_1(X85)
          | ~ c3_1(X85)
          | c2_1(X85)
          | ~ ndr1_0 ) )
    & ( ! [X1] :
          ( ~ c3_1(X1)
          | ~ c2_1(X1)
          | ~ c0_1(X1)
          | ~ ndr1_0 )
      | ! [X2] :
          ( c0_1(X2)
          | c1_1(X2)
          | ~ c2_1(X2)
          | ~ ndr1_0 )
      | ! [X0] :
          ( ~ c3_1(X0)
          | c1_1(X0)
          | ~ c2_1(X0)
          | ~ ndr1_0 ) )
    & ( ! [X77] :
          ( c0_1(X77)
          | ~ c1_1(X77)
          | ~ c2_1(X77)
          | ~ ndr1_0 )
      | ! [X78] :
          ( ~ c0_1(X78)
          | c3_1(X78)
          | c1_1(X78)
          | ~ ndr1_0 )
      | hskp7 )
    & ( ! [X8] :
          ( c2_1(X8)
          | ~ c3_1(X8)
          | c0_1(X8)
          | ~ ndr1_0 )
      | hskp10
      | ! [X7] :
          ( c2_1(X7)
          | ~ c0_1(X7)
          | ~ c1_1(X7)
          | ~ ndr1_0 ) )
    & ( ~ hskp6
      | ( c0_1(a1437)
        & ~ c2_1(a1437)
        & ~ c1_1(a1437)
        & ndr1_0 ) )
    & ( ! [X87] :
          ( c3_1(X87)
          | ~ c2_1(X87)
          | ~ c1_1(X87)
          | ~ ndr1_0 )
      | ! [X86] :
          ( ~ c2_1(X86)
          | ~ c1_1(X86)
          | ~ c0_1(X86)
          | ~ ndr1_0 )
      | hskp23 )
    & ( ~ hskp27
      | ( c0_1(a1428)
        & c2_1(a1428)
        & c3_1(a1428)
        & ndr1_0 ) )
    & ( hskp24
      | hskp30 )
    & ( ! [X97] :
          ( ~ c3_1(X97)
          | c2_1(X97)
          | c0_1(X97)
          | ~ ndr1_0 )
      | ! [X96] :
          ( ~ c2_1(X96)
          | c0_1(X96)
          | c3_1(X96)
          | ~ ndr1_0 )
      | hskp9 )
    & ( ! [X110] :
          ( ~ c1_1(X110)
          | c2_1(X110)
          | ~ c3_1(X110)
          | ~ ndr1_0 )
      | ! [X111] :
          ( c3_1(X111)
          | c2_1(X111)
          | c0_1(X111)
          | ~ ndr1_0 )
      | hskp0 )
    & ( hskp17
      | ! [X53] :
          ( ~ c2_1(X53)
          | c1_1(X53)
          | ~ c0_1(X53)
          | ~ ndr1_0 )
      | ! [X54] :
          ( c1_1(X54)
          | c3_1(X54)
          | c2_1(X54)
          | ~ ndr1_0 ) )
    & ( hskp3
      | ! [X59] :
          ( ~ c0_1(X59)
          | ~ c1_1(X59)
          | c3_1(X59)
          | ~ ndr1_0 )
      | hskp22 )
    & ( ! [X68] :
          ( c2_1(X68)
          | c3_1(X68)
          | ~ c0_1(X68)
          | ~ ndr1_0 )
      | hskp29
      | hskp5 )
    & ( ! [X93] :
          ( c1_1(X93)
          | c2_1(X93)
          | ~ c0_1(X93)
          | ~ ndr1_0 )
      | hskp20
      | ! [X92] :
          ( c3_1(X92)
          | ~ c1_1(X92)
          | ~ c0_1(X92)
          | ~ ndr1_0 ) ) ),
    inference(ennf_transformation,[],[f4]) ).

fof(f4,plain,
    ( ( ! [X99] :
          ( ndr1_0
         => ( c2_1(X99)
            | c0_1(X99)
            | c1_1(X99) ) )
      | ! [X100] :
          ( ndr1_0
         => ( ~ c0_1(X100)
            | c1_1(X100)
            | ~ c2_1(X100) ) )
      | ! [X98] :
          ( ndr1_0
         => ( c3_1(X98)
            | ~ c0_1(X98)
            | c1_1(X98) ) ) )
    & ( hskp25
      | hskp17
      | hskp2 )
    & ( hskp17
      | ! [X74] :
          ( ndr1_0
         => ( c2_1(X74)
            | ~ c3_1(X74)
            | ~ c0_1(X74) ) )
      | ! [X73] :
          ( ndr1_0
         => ( c0_1(X73)
            | ~ c1_1(X73)
            | ~ c3_1(X73) ) ) )
    & ( ~ hskp23
      | ( c2_1(a1477)
        & ndr1_0
        & ~ c0_1(a1477)
        & ~ c1_1(a1477) ) )
    & ( ( ~ c3_1(a1447)
        & ~ c2_1(a1447)
        & ndr1_0
        & ~ c0_1(a1447) )
      | ~ hskp11 )
    & ( ! [X84] :
          ( ndr1_0
         => ( c0_1(X84)
            | ~ c1_1(X84)
            | c3_1(X84) ) )
      | ! [X83] :
          ( ndr1_0
         => ( c3_1(X83)
            | c2_1(X83)
            | ~ c1_1(X83) ) )
      | hskp12 )
    & ( ( ~ c0_1(a1454)
        & ndr1_0
        & c1_1(a1454)
        & ~ c3_1(a1454) )
      | ~ hskp16 )
    & ( ! [X114] :
          ( ndr1_0
         => ( c3_1(X114)
            | c2_1(X114)
            | ~ c0_1(X114) ) )
      | ! [X113] :
          ( ndr1_0
         => ( c0_1(X113)
            | ~ c1_1(X113)
            | ~ c2_1(X113) ) )
      | ! [X112] :
          ( ndr1_0
         => ( c1_1(X112)
            | c3_1(X112)
            | ~ c2_1(X112) ) ) )
    & ( ! [X80] :
          ( ndr1_0
         => ( ~ c0_1(X80)
            | c1_1(X80)
            | c2_1(X80) ) )
      | ! [X79] :
          ( ndr1_0
         => ( c3_1(X79)
            | ~ c0_1(X79)
            | ~ c2_1(X79) ) )
      | hskp21 )
    & ( ( c1_1(a1449)
        & ~ c3_1(a1449)
        & ndr1_0
        & c2_1(a1449) )
      | ~ hskp13 )
    & ( ! [X23] :
          ( ndr1_0
         => ( c3_1(X23)
            | c0_1(X23)
            | c2_1(X23) ) )
      | hskp2
      | ! [X22] :
          ( ndr1_0
         => ( c3_1(X22)
            | c2_1(X22)
            | c1_1(X22) ) ) )
    & ( ~ hskp28
      | ( ndr1_0
        & c1_1(a1456)
        & c0_1(a1456)
        & c3_1(a1456) ) )
    & ( ! [X33] :
          ( ndr1_0
         => ( c3_1(X33)
            | ~ c2_1(X33)
            | ~ c0_1(X33) ) )
      | hskp19
      | ! [X32] :
          ( ndr1_0
         => ( c2_1(X32)
            | ~ c0_1(X32)
            | c1_1(X32) ) ) )
    & ( ! [X65] :
          ( ndr1_0
         => ( ~ c0_1(X65)
            | c2_1(X65)
            | c3_1(X65) ) )
      | hskp4
      | hskp24 )
    & ( ! [X43] :
          ( ndr1_0
         => ( c2_1(X43)
            | c0_1(X43)
            | c3_1(X43) ) )
      | hskp7
      | hskp8 )
    & ( ! [X109] :
          ( ndr1_0
         => ( c3_1(X109)
            | ~ c1_1(X109)
            | ~ c0_1(X109) ) )
      | ! [X108] :
          ( ndr1_0
         => ( ~ c3_1(X108)
            | c2_1(X108)
            | c1_1(X108) ) )
      | ! [X107] :
          ( ndr1_0
         => ( ~ c0_1(X107)
            | ~ c2_1(X107)
            | ~ c3_1(X107) ) ) )
    & ( ~ hskp17
      | ( ~ c2_1(a1457)
        & ~ c1_1(a1457)
        & ndr1_0
        & ~ c0_1(a1457) ) )
    & ( ~ hskp15
      | ( c0_1(a1452)
        & ~ c3_1(a1452)
        & ndr1_0
        & c1_1(a1452) ) )
    & ( hskp10
      | hskp12
      | hskp1 )
    & ( hskp30
      | hskp3
      | hskp8 )
    & ( hskp22
      | ! [X72] :
          ( ndr1_0
         => ( c1_1(X72)
            | c2_1(X72)
            | ~ c3_1(X72) ) )
      | hskp9 )
    & ( ( ~ c2_1(a1430)
        & ndr1_0
        & ~ c0_1(a1430)
        & c3_1(a1430) )
      | ~ hskp2 )
    & ( ! [X103] :
          ( ndr1_0
         => ( ~ c1_1(X103)
            | ~ c3_1(X103)
            | ~ c0_1(X103) ) )
      | hskp25
      | hskp0 )
    & ( ~ hskp20
      | ( ndr1_0
        & c3_1(a1465)
        & ~ c1_1(a1465)
        & c2_1(a1465) ) )
    & ( hskp0
      | ! [X41] :
          ( ndr1_0
         => ( ~ c3_1(X41)
            | ~ c1_1(X41)
            | ~ c0_1(X41) ) )
      | ! [X42] :
          ( ndr1_0
         => ( ~ c1_1(X42)
            | c0_1(X42)
            | c2_1(X42) ) ) )
    & ( ~ hskp3
      | ( ~ c2_1(a1431)
        & ndr1_0
        & ~ c0_1(a1431)
        & c1_1(a1431) ) )
    & ( ( c2_1(a1451)
        & ndr1_0
        & ~ c1_1(a1451)
        & ~ c3_1(a1451) )
      | ~ hskp14 )
    & ( ~ hskp1
      | ( c3_1(a1429)
        & ndr1_0
        & c2_1(a1429)
        & ~ c0_1(a1429) ) )
    & ( hskp14
      | hskp19
      | hskp8 )
    & ( ~ hskp5
      | ( ~ c3_1(a1435)
        & c0_1(a1435)
        & ndr1_0
        & ~ c2_1(a1435) ) )
    & ( hskp21
      | ! [X52] :
          ( ndr1_0
         => ( ~ c2_1(X52)
            | ~ c0_1(X52)
            | ~ c1_1(X52) ) )
      | hskp9 )
    & ( ! [X44] :
          ( ndr1_0
         => ( ~ c3_1(X44)
            | ~ c0_1(X44)
            | ~ c1_1(X44) ) )
      | ! [X45] :
          ( ndr1_0
         => ( c1_1(X45)
            | c3_1(X45)
            | ~ c2_1(X45) ) )
      | hskp13 )
    & ( ! [X31] :
          ( ndr1_0
         => ( c3_1(X31)
            | c0_1(X31)
            | ~ c2_1(X31) ) )
      | ! [X30] :
          ( ndr1_0
         => ( c0_1(X30)
            | ~ c2_1(X30)
            | ~ c1_1(X30) ) )
      | hskp14 )
    & ( ! [X120] :
          ( ndr1_0
         => ( c1_1(X120)
            | c2_1(X120)
            | ~ c3_1(X120) ) )
      | hskp6
      | ! [X119] :
          ( ndr1_0
         => ( ~ c3_1(X119)
            | ~ c1_1(X119)
            | ~ c0_1(X119) ) ) )
    & ( hskp14
      | hskp16
      | ! [X115] :
          ( ndr1_0
         => ( ~ c0_1(X115)
            | c3_1(X115)
            | ~ c1_1(X115) ) ) )
    & ( hskp5
      | ! [X17] :
          ( ndr1_0
         => ( c2_1(X17)
            | ~ c3_1(X17)
            | ~ c0_1(X17) ) )
      | hskp17 )
    & ( ! [X118] :
          ( ndr1_0
         => ( ~ c3_1(X118)
            | ~ c2_1(X118)
            | ~ c1_1(X118) ) )
      | hskp25
      | hskp13 )
    & ( hskp12
      | hskp8
      | hskp14 )
    & ( ! [X81] :
          ( ndr1_0
         => ( c3_1(X81)
            | ~ c0_1(X81)
            | c2_1(X81) ) )
      | hskp7
      | hskp4 )
    & ( ! [X57] :
          ( ndr1_0
         => ( c1_1(X57)
            | ~ c0_1(X57)
            | c2_1(X57) ) )
      | ! [X56] :
          ( ndr1_0
         => ( c0_1(X56)
            | ~ c3_1(X56)
            | c2_1(X56) ) )
      | ! [X58] :
          ( ndr1_0
         => ( ~ c2_1(X58)
            | c3_1(X58)
            | c1_1(X58) ) ) )
    & ( ! [X69] :
          ( ndr1_0
         => ( c1_1(X69)
            | ~ c2_1(X69)
            | ~ c3_1(X69) ) )
      | hskp11
      | hskp20 )
    & ( hskp3
      | ! [X102] :
          ( ndr1_0
         => ( c0_1(X102)
            | ~ c3_1(X102)
            | ~ c1_1(X102) ) )
      | ! [X101] :
          ( ndr1_0
         => ( c3_1(X101)
            | c0_1(X101)
            | c1_1(X101) ) ) )
    & ( ! [X27] :
          ( ndr1_0
         => ( ~ c2_1(X27)
            | c1_1(X27)
            | ~ c3_1(X27) ) )
      | hskp8
      | ! [X26] :
          ( ndr1_0
         => ( ~ c1_1(X26)
            | c3_1(X26)
            | ~ c0_1(X26) ) ) )
    & ( ~ hskp0
      | ( c0_1(a1427)
        & ndr1_0
        & c2_1(a1427)
        & ~ c3_1(a1427) ) )
    & ( ( ~ c1_1(a1466)
        & c0_1(a1466)
        & c2_1(a1466)
        & ndr1_0 )
      | ~ hskp21 )
    & ( ~ hskp18
      | ( ndr1_0
        & c0_1(a1458)
        & ~ c2_1(a1458)
        & c1_1(a1458) ) )
    & ( ~ hskp10
      | ( ~ c3_1(a1445)
        & c0_1(a1445)
        & ndr1_0
        & ~ c1_1(a1445) ) )
    & ( ! [X116] :
          ( ndr1_0
         => ( ~ c2_1(X116)
            | c0_1(X116)
            | ~ c3_1(X116) ) )
      | hskp12
      | ! [X117] :
          ( ndr1_0
         => ( c3_1(X117)
            | ~ c0_1(X117)
            | c1_1(X117) ) ) )
    & ( ! [X82] :
          ( ndr1_0
         => ( ~ c2_1(X82)
            | ~ c0_1(X82)
            | c1_1(X82) ) )
      | hskp0
      | hskp11 )
    & ( hskp6
      | ! [X48] :
          ( ndr1_0
         => ( ~ c3_1(X48)
            | c1_1(X48)
            | c0_1(X48) ) )
      | hskp7 )
    & ( ! [X24] :
          ( ndr1_0
         => ( ~ c3_1(X24)
            | c0_1(X24)
            | ~ c2_1(X24) ) )
      | ! [X25] :
          ( ndr1_0
         => ( ~ c1_1(X25)
            | ~ c3_1(X25)
            | c2_1(X25) ) )
      | hskp12 )
    & ( hskp3
      | hskp22
      | hskp17 )
    & ( ~ hskp29
      | ( c3_1(a1483)
        & c1_1(a1483)
        & ndr1_0
        & c2_1(a1483) ) )
    & ( hskp19
      | hskp3
      | ! [X55] :
          ( ndr1_0
         => ( ~ c1_1(X55)
            | ~ c3_1(X55)
            | c0_1(X55) ) ) )
    & ( ~ hskp30
      | ( c0_1(a1507)
        & c2_1(a1507)
        & c1_1(a1507)
        & ndr1_0 ) )
    & ( hskp27
      | hskp15
      | hskp13 )
    & ( ! [X40] :
          ( ndr1_0
         => ( ~ c2_1(X40)
            | ~ c3_1(X40)
            | ~ c1_1(X40) ) )
      | ! [X39] :
          ( ndr1_0
         => ( ~ c0_1(X39)
            | c1_1(X39)
            | ~ c3_1(X39) ) )
      | hskp28 )
    & ( ! [X11] :
          ( ndr1_0
         => ( c3_1(X11)
            | c2_1(X11)
            | c1_1(X11) ) )
      | ! [X10] :
          ( ndr1_0
         => ( c1_1(X10)
            | ~ c3_1(X10)
            | c0_1(X10) ) )
      | ! [X9] :
          ( ndr1_0
         => ( c3_1(X9)
            | c1_1(X9)
            | ~ c2_1(X9) ) ) )
    & ( ! [X90] :
          ( ndr1_0
         => ( ~ c1_1(X90)
            | c3_1(X90)
            | c2_1(X90) ) )
      | ! [X91] :
          ( ndr1_0
         => ( c0_1(X91)
            | c1_1(X91)
            | c3_1(X91) ) )
      | ! [X89] :
          ( ndr1_0
         => ( c3_1(X89)
            | c2_1(X89)
            | c1_1(X89) ) ) )
    & ( ! [X19] :
          ( ndr1_0
         => ( ~ c2_1(X19)
            | ~ c3_1(X19)
            | c1_1(X19) ) )
      | ! [X21] :
          ( ndr1_0
         => ( c2_1(X21)
            | ~ c3_1(X21)
            | c1_1(X21) ) )
      | ! [X20] :
          ( ndr1_0
         => ( ~ c2_1(X20)
            | ~ c1_1(X20)
            | ~ c0_1(X20) ) ) )
    & ( hskp5
      | ! [X5] :
          ( ndr1_0
         => ( c1_1(X5)
            | ~ c3_1(X5)
            | c0_1(X5) ) )
      | ! [X6] :
          ( ndr1_0
         => ( c3_1(X6)
            | ~ c2_1(X6)
            | c1_1(X6) ) ) )
    & ( hskp23
      | ! [X51] :
          ( ndr1_0
         => ( c3_1(X51)
            | ~ c2_1(X51)
            | ~ c0_1(X51) ) )
      | ! [X50] :
          ( ndr1_0
         => ( ~ c3_1(X50)
            | c1_1(X50)
            | ~ c2_1(X50) ) ) )
    & ( ~ hskp4
      | ( ndr1_0
        & ~ c2_1(a1434)
        & c3_1(a1434)
        & c1_1(a1434) ) )
    & ( ! [X67] :
          ( ndr1_0
         => ( ~ c1_1(X67)
            | ~ c2_1(X67)
            | c3_1(X67) ) )
      | ! [X66] :
          ( ndr1_0
         => ( c2_1(X66)
            | c3_1(X66)
            | ~ c1_1(X66) ) )
      | hskp12 )
    & ( hskp2
      | hskp1
      | ! [X62] :
          ( ndr1_0
         => ( c2_1(X62)
            | c0_1(X62)
            | c1_1(X62) ) ) )
    & ( ! [X37] :
          ( ndr1_0
         => ( ~ c2_1(X37)
            | ~ c3_1(X37)
            | c0_1(X37) ) )
      | hskp15
      | ! [X38] :
          ( ndr1_0
         => ( c0_1(X38)
            | ~ c2_1(X38)
            | ~ c1_1(X38) ) ) )
    & ( ! [X94] :
          ( ndr1_0
         => ( ~ c1_1(X94)
            | ~ c3_1(X94)
            | c0_1(X94) ) )
      | ! [X95] :
          ( ndr1_0
         => ( ~ c2_1(X95)
            | ~ c0_1(X95)
            | ~ c3_1(X95) ) )
      | hskp18 )
    & ( ! [X75] :
          ( ndr1_0
         => ( c0_1(X75)
            | ~ c3_1(X75)
            | ~ c1_1(X75) ) )
      | ! [X76] :
          ( ndr1_0
         => ( ~ c2_1(X76)
            | c1_1(X76)
            | c0_1(X76) ) )
      | hskp0 )
    & ( ! [X3] :
          ( ndr1_0
         => ( c2_1(X3)
            | c1_1(X3)
            | c3_1(X3) ) )
      | hskp7
      | ! [X4] :
          ( ndr1_0
         => ( ~ c1_1(X4)
            | ~ c3_1(X4)
            | ~ c2_1(X4) ) ) )
    & ( ! [X60] :
          ( ndr1_0
         => ( c2_1(X60)
            | ~ c3_1(X60)
            | ~ c0_1(X60) ) )
      | ! [X61] :
          ( ndr1_0
         => ( c0_1(X61)
            | ~ c3_1(X61)
            | c1_1(X61) ) )
      | hskp5 )
    & ( ! [X28] :
          ( ndr1_0
         => ( ~ c1_1(X28)
            | c2_1(X28)
            | c3_1(X28) ) )
      | ! [X29] :
          ( ndr1_0
         => ( ~ c3_1(X29)
            | c2_1(X29)
            | ~ c1_1(X29) ) )
      | hskp7 )
    & ( ( ndr1_0
        & ~ c2_1(a1448)
        & c3_1(a1448)
        & c0_1(a1448) )
      | ~ hskp12 )
    & ( ~ hskp26
      | ( ~ c0_1(a1517)
        & ndr1_0
        & c2_1(a1517)
        & ~ c3_1(a1517) ) )
    & ( ( ~ c0_1(a1468)
        & c1_1(a1468)
        & ndr1_0
        & c3_1(a1468) )
      | ~ hskp22 )
    & ( ! [X34] :
          ( ndr1_0
         => ( ~ c2_1(X34)
            | c1_1(X34)
            | c0_1(X34) ) )
      | hskp4
      | hskp0 )
    & ( ~ hskp25
      | ( ndr1_0
        & c1_1(a1504)
        & c2_1(a1504)
        & ~ c0_1(a1504) ) )
    & ( hskp29
      | hskp26
      | hskp0 )
    & ( hskp18
      | ! [X35] :
          ( ndr1_0
         => ( ~ c1_1(X35)
            | c3_1(X35)
            | c2_1(X35) ) )
      | ! [X36] :
          ( ndr1_0
         => ( c3_1(X36)
            | c2_1(X36)
            | ~ c0_1(X36) ) ) )
    & ( ( ~ c2_1(a1444)
        & ~ c1_1(a1444)
        & ndr1_0
        & ~ c3_1(a1444) )
      | ~ hskp9 )
    & ( ( c3_1(a1441)
        & c0_1(a1441)
        & ~ c1_1(a1441)
        & ndr1_0 )
      | ~ hskp8 )
    & ( hskp27
      | ! [X104] :
          ( ndr1_0
         => ( ~ c3_1(X104)
            | ~ c1_1(X104)
            | ~ c2_1(X104) ) )
      | ! [X105] :
          ( ndr1_0
         => ( c2_1(X105)
            | c1_1(X105)
            | c0_1(X105) ) ) )
    & ( hskp16
      | ! [X18] :
          ( ndr1_0
         => ( ~ c1_1(X18)
            | c0_1(X18)
            | ~ c2_1(X18) ) )
      | hskp7 )
    & ( ( ~ c0_1(a1438)
        & c3_1(a1438)
        & ndr1_0
        & ~ c1_1(a1438) )
      | ~ hskp7 )
    & ( ! [X47] :
          ( ndr1_0
         => ( c2_1(X47)
            | ~ c3_1(X47)
            | ~ c0_1(X47) ) )
      | hskp22
      | ! [X46] :
          ( ndr1_0
         => ( c1_1(X46)
            | ~ c3_1(X46)
            | c2_1(X46) ) ) )
    & ( ~ hskp19
      | ( ~ c3_1(a1460)
        & ndr1_0
        & ~ c1_1(a1460)
        & ~ c0_1(a1460) ) )
    & ( hskp13
      | ! [X49] :
          ( ndr1_0
         => ( c0_1(X49)
            | c3_1(X49)
            | ~ c1_1(X49) ) )
      | hskp1 )
    & ( ! [X71] :
          ( ndr1_0
         => ( c1_1(X71)
            | c2_1(X71)
            | c0_1(X71) ) )
      | hskp0
      | ! [X70] :
          ( ndr1_0
         => ( c3_1(X70)
            | ~ c0_1(X70)
            | c2_1(X70) ) ) )
    & ( ~ hskp24
      | ( c3_1(a1487)
        & ~ c1_1(a1487)
        & ~ c2_1(a1487)
        & ndr1_0 ) )
    & ( ! [X16] :
          ( ndr1_0
         => ( ~ c3_1(X16)
            | c0_1(X16)
            | ~ c1_1(X16) ) )
      | ! [X15] :
          ( ndr1_0
         => ( ~ c2_1(X15)
            | c0_1(X15)
            | c1_1(X15) ) )
      | ! [X14] :
          ( ndr1_0
         => ( ~ c0_1(X14)
            | ~ c3_1(X14)
            | c2_1(X14) ) ) )
    & ( hskp28
      | ! [X63] :
          ( ndr1_0
         => ( c2_1(X63)
            | ~ c1_1(X63)
            | ~ c0_1(X63) ) )
      | ! [X64] :
          ( ndr1_0
         => ( ~ c1_1(X64)
            | ~ c3_1(X64)
            | c0_1(X64) ) ) )
    & ( ! [X106] :
          ( ndr1_0
         => ( c3_1(X106)
            | ~ c0_1(X106)
            | ~ c2_1(X106) ) )
      | hskp12
      | hskp11 )
    & ( ! [X88] :
          ( ndr1_0
         => ( c3_1(X88)
            | c2_1(X88)
            | ~ c1_1(X88) ) )
      | hskp0
      | hskp3 )
    & ( hskp11
      | ! [X12] :
          ( ndr1_0
         => ( c3_1(X12)
            | ~ c0_1(X12)
            | c2_1(X12) ) )
      | ! [X13] :
          ( ndr1_0
         => ( ~ c0_1(X13)
            | ~ c3_1(X13)
            | ~ c2_1(X13) ) ) )
    & ( hskp5
      | hskp11
      | ! [X85] :
          ( ndr1_0
         => ( c0_1(X85)
            | ~ c3_1(X85)
            | c2_1(X85) ) ) )
    & ( ! [X1] :
          ( ndr1_0
         => ( ~ c3_1(X1)
            | ~ c2_1(X1)
            | ~ c0_1(X1) ) )
      | ! [X2] :
          ( ndr1_0
         => ( c0_1(X2)
            | c1_1(X2)
            | ~ c2_1(X2) ) )
      | ! [X0] :
          ( ndr1_0
         => ( ~ c3_1(X0)
            | c1_1(X0)
            | ~ c2_1(X0) ) ) )
    & ( ! [X77] :
          ( ndr1_0
         => ( c0_1(X77)
            | ~ c1_1(X77)
            | ~ c2_1(X77) ) )
      | ! [X78] :
          ( ndr1_0
         => ( ~ c0_1(X78)
            | c3_1(X78)
            | c1_1(X78) ) )
      | hskp7 )
    & ( ! [X8] :
          ( ndr1_0
         => ( c2_1(X8)
            | ~ c3_1(X8)
            | c0_1(X8) ) )
      | hskp10
      | ! [X7] :
          ( ndr1_0
         => ( c2_1(X7)
            | ~ c0_1(X7)
            | ~ c1_1(X7) ) ) )
    & ( ~ hskp6
      | ( c0_1(a1437)
        & ~ c2_1(a1437)
        & ~ c1_1(a1437)
        & ndr1_0 ) )
    & ( ! [X87] :
          ( ndr1_0
         => ( c3_1(X87)
            | ~ c2_1(X87)
            | ~ c1_1(X87) ) )
      | ! [X86] :
          ( ndr1_0
         => ( ~ c2_1(X86)
            | ~ c1_1(X86)
            | ~ c0_1(X86) ) )
      | hskp23 )
    & ( ~ hskp27
      | ( c0_1(a1428)
        & c2_1(a1428)
        & c3_1(a1428)
        & ndr1_0 ) )
    & ( hskp24
      | hskp30 )
    & ( ! [X97] :
          ( ndr1_0
         => ( ~ c3_1(X97)
            | c2_1(X97)
            | c0_1(X97) ) )
      | ! [X96] :
          ( ndr1_0
         => ( ~ c2_1(X96)
            | c0_1(X96)
            | c3_1(X96) ) )
      | hskp9 )
    & ( ! [X110] :
          ( ndr1_0
         => ( ~ c1_1(X110)
            | c2_1(X110)
            | ~ c3_1(X110) ) )
      | ! [X111] :
          ( ndr1_0
         => ( c3_1(X111)
            | c2_1(X111)
            | c0_1(X111) ) )
      | hskp0 )
    & ( hskp17
      | ! [X53] :
          ( ndr1_0
         => ( ~ c2_1(X53)
            | c1_1(X53)
            | ~ c0_1(X53) ) )
      | ! [X54] :
          ( ndr1_0
         => ( c1_1(X54)
            | c3_1(X54)
            | c2_1(X54) ) ) )
    & ( hskp3
      | ! [X59] :
          ( ndr1_0
         => ( ~ c0_1(X59)
            | ~ c1_1(X59)
            | c3_1(X59) ) )
      | hskp22 )
    & ( ! [X68] :
          ( ndr1_0
         => ( c2_1(X68)
            | c3_1(X68)
            | ~ c0_1(X68) ) )
      | hskp29
      | hskp5 )
    & ( ! [X93] :
          ( ndr1_0
         => ( c1_1(X93)
            | c2_1(X93)
            | ~ c0_1(X93) ) )
      | hskp20
      | ! [X92] :
          ( ndr1_0
         => ( c3_1(X92)
            | ~ c1_1(X92)
            | ~ c0_1(X92) ) ) ) ),
    inference(flattening,[],[f3]) ).

fof(f3,plain,
    ~ ~ ( ( ! [X99] :
              ( ndr1_0
             => ( c2_1(X99)
                | c0_1(X99)
                | c1_1(X99) ) )
          | ! [X100] :
              ( ndr1_0
             => ( ~ c0_1(X100)
                | c1_1(X100)
                | ~ c2_1(X100) ) )
          | ! [X98] :
              ( ndr1_0
             => ( c3_1(X98)
                | ~ c0_1(X98)
                | c1_1(X98) ) ) )
        & ( hskp25
          | hskp17
          | hskp2 )
        & ( hskp17
          | ! [X74] :
              ( ndr1_0
             => ( c2_1(X74)
                | ~ c3_1(X74)
                | ~ c0_1(X74) ) )
          | ! [X73] :
              ( ndr1_0
             => ( c0_1(X73)
                | ~ c1_1(X73)
                | ~ c3_1(X73) ) ) )
        & ( ~ hskp23
          | ( c2_1(a1477)
            & ndr1_0
            & ~ c0_1(a1477)
            & ~ c1_1(a1477) ) )
        & ( ( ~ c3_1(a1447)
            & ~ c2_1(a1447)
            & ndr1_0
            & ~ c0_1(a1447) )
          | ~ hskp11 )
        & ( ! [X84] :
              ( ndr1_0
             => ( c0_1(X84)
                | ~ c1_1(X84)
                | c3_1(X84) ) )
          | ! [X83] :
              ( ndr1_0
             => ( c3_1(X83)
                | c2_1(X83)
                | ~ c1_1(X83) ) )
          | hskp12 )
        & ( ( ~ c0_1(a1454)
            & ndr1_0
            & c1_1(a1454)
            & ~ c3_1(a1454) )
          | ~ hskp16 )
        & ( ! [X114] :
              ( ndr1_0
             => ( c3_1(X114)
                | c2_1(X114)
                | ~ c0_1(X114) ) )
          | ! [X113] :
              ( ndr1_0
             => ( c0_1(X113)
                | ~ c1_1(X113)
                | ~ c2_1(X113) ) )
          | ! [X112] :
              ( ndr1_0
             => ( c1_1(X112)
                | c3_1(X112)
                | ~ c2_1(X112) ) ) )
        & ( ! [X80] :
              ( ndr1_0
             => ( ~ c0_1(X80)
                | c1_1(X80)
                | c2_1(X80) ) )
          | ! [X79] :
              ( ndr1_0
             => ( c3_1(X79)
                | ~ c0_1(X79)
                | ~ c2_1(X79) ) )
          | hskp21 )
        & ( ( c1_1(a1449)
            & ~ c3_1(a1449)
            & ndr1_0
            & c2_1(a1449) )
          | ~ hskp13 )
        & ( ! [X23] :
              ( ndr1_0
             => ( c3_1(X23)
                | c0_1(X23)
                | c2_1(X23) ) )
          | hskp2
          | ! [X22] :
              ( ndr1_0
             => ( c3_1(X22)
                | c2_1(X22)
                | c1_1(X22) ) ) )
        & ( ~ hskp28
          | ( ndr1_0
            & c1_1(a1456)
            & c0_1(a1456)
            & c3_1(a1456) ) )
        & ( ! [X33] :
              ( ndr1_0
             => ( c3_1(X33)
                | ~ c2_1(X33)
                | ~ c0_1(X33) ) )
          | hskp19
          | ! [X32] :
              ( ndr1_0
             => ( c2_1(X32)
                | ~ c0_1(X32)
                | c1_1(X32) ) ) )
        & ( ! [X65] :
              ( ndr1_0
             => ( ~ c0_1(X65)
                | c2_1(X65)
                | c3_1(X65) ) )
          | hskp4
          | hskp24 )
        & ( ! [X43] :
              ( ndr1_0
             => ( c2_1(X43)
                | c0_1(X43)
                | c3_1(X43) ) )
          | hskp7
          | hskp8 )
        & ( ! [X109] :
              ( ndr1_0
             => ( c3_1(X109)
                | ~ c1_1(X109)
                | ~ c0_1(X109) ) )
          | ! [X108] :
              ( ndr1_0
             => ( ~ c3_1(X108)
                | c2_1(X108)
                | c1_1(X108) ) )
          | ! [X107] :
              ( ndr1_0
             => ( ~ c0_1(X107)
                | ~ c2_1(X107)
                | ~ c3_1(X107) ) ) )
        & ( ~ hskp17
          | ( ~ c2_1(a1457)
            & ~ c1_1(a1457)
            & ndr1_0
            & ~ c0_1(a1457) ) )
        & ( ~ hskp15
          | ( c0_1(a1452)
            & ~ c3_1(a1452)
            & ndr1_0
            & c1_1(a1452) ) )
        & ( hskp10
          | hskp12
          | hskp1 )
        & ( hskp30
          | hskp3
          | hskp8 )
        & ( hskp22
          | ! [X72] :
              ( ndr1_0
             => ( c1_1(X72)
                | c2_1(X72)
                | ~ c3_1(X72) ) )
          | hskp9 )
        & ( ( ~ c2_1(a1430)
            & ndr1_0
            & ~ c0_1(a1430)
            & c3_1(a1430) )
          | ~ hskp2 )
        & ( ! [X103] :
              ( ndr1_0
             => ( ~ c1_1(X103)
                | ~ c3_1(X103)
                | ~ c0_1(X103) ) )
          | hskp25
          | hskp0 )
        & ( ~ hskp20
          | ( ndr1_0
            & c3_1(a1465)
            & ~ c1_1(a1465)
            & c2_1(a1465) ) )
        & ( hskp0
          | ! [X41] :
              ( ndr1_0
             => ( ~ c3_1(X41)
                | ~ c1_1(X41)
                | ~ c0_1(X41) ) )
          | ! [X42] :
              ( ndr1_0
             => ( ~ c1_1(X42)
                | c0_1(X42)
                | c2_1(X42) ) ) )
        & ( ~ hskp3
          | ( ~ c2_1(a1431)
            & ndr1_0
            & ~ c0_1(a1431)
            & c1_1(a1431) ) )
        & ( ( c2_1(a1451)
            & ndr1_0
            & ~ c1_1(a1451)
            & ~ c3_1(a1451) )
          | ~ hskp14 )
        & ( ~ hskp1
          | ( c3_1(a1429)
            & ndr1_0
            & c2_1(a1429)
            & ~ c0_1(a1429) ) )
        & ( hskp14
          | hskp19
          | hskp8 )
        & ( ~ hskp5
          | ( ~ c3_1(a1435)
            & c0_1(a1435)
            & ndr1_0
            & ~ c2_1(a1435) ) )
        & ( hskp21
          | ! [X52] :
              ( ndr1_0
             => ( ~ c2_1(X52)
                | ~ c0_1(X52)
                | ~ c1_1(X52) ) )
          | hskp9 )
        & ( ! [X44] :
              ( ndr1_0
             => ( ~ c3_1(X44)
                | ~ c0_1(X44)
                | ~ c1_1(X44) ) )
          | ! [X45] :
              ( ndr1_0
             => ( c1_1(X45)
                | c3_1(X45)
                | ~ c2_1(X45) ) )
          | hskp13 )
        & ( ! [X31] :
              ( ndr1_0
             => ( c3_1(X31)
                | c0_1(X31)
                | ~ c2_1(X31) ) )
          | ! [X30] :
              ( ndr1_0
             => ( c0_1(X30)
                | ~ c2_1(X30)
                | ~ c1_1(X30) ) )
          | hskp14 )
        & ( ! [X120] :
              ( ndr1_0
             => ( c1_1(X120)
                | c2_1(X120)
                | ~ c3_1(X120) ) )
          | hskp6
          | ! [X119] :
              ( ndr1_0
             => ( ~ c3_1(X119)
                | ~ c1_1(X119)
                | ~ c0_1(X119) ) ) )
        & ( hskp14
          | hskp16
          | ! [X115] :
              ( ndr1_0
             => ( ~ c0_1(X115)
                | c3_1(X115)
                | ~ c1_1(X115) ) ) )
        & ( hskp5
          | ! [X17] :
              ( ndr1_0
             => ( c2_1(X17)
                | ~ c3_1(X17)
                | ~ c0_1(X17) ) )
          | hskp17 )
        & ( ! [X118] :
              ( ndr1_0
             => ( ~ c3_1(X118)
                | ~ c2_1(X118)
                | ~ c1_1(X118) ) )
          | hskp25
          | hskp13 )
        & ( hskp12
          | hskp8
          | hskp14 )
        & ( ! [X81] :
              ( ndr1_0
             => ( c3_1(X81)
                | ~ c0_1(X81)
                | c2_1(X81) ) )
          | hskp7
          | hskp4 )
        & ( ! [X57] :
              ( ndr1_0
             => ( c1_1(X57)
                | ~ c0_1(X57)
                | c2_1(X57) ) )
          | ! [X56] :
              ( ndr1_0
             => ( c0_1(X56)
                | ~ c3_1(X56)
                | c2_1(X56) ) )
          | ! [X58] :
              ( ndr1_0
             => ( ~ c2_1(X58)
                | c3_1(X58)
                | c1_1(X58) ) ) )
        & ( ! [X69] :
              ( ndr1_0
             => ( c1_1(X69)
                | ~ c2_1(X69)
                | ~ c3_1(X69) ) )
          | hskp11
          | hskp20 )
        & ( hskp3
          | ! [X102] :
              ( ndr1_0
             => ( c0_1(X102)
                | ~ c3_1(X102)
                | ~ c1_1(X102) ) )
          | ! [X101] :
              ( ndr1_0
             => ( c3_1(X101)
                | c0_1(X101)
                | c1_1(X101) ) ) )
        & ( ! [X27] :
              ( ndr1_0
             => ( ~ c2_1(X27)
                | c1_1(X27)
                | ~ c3_1(X27) ) )
          | hskp8
          | ! [X26] :
              ( ndr1_0
             => ( ~ c1_1(X26)
                | c3_1(X26)
                | ~ c0_1(X26) ) ) )
        & ( ~ hskp0
          | ( c0_1(a1427)
            & ndr1_0
            & c2_1(a1427)
            & ~ c3_1(a1427) ) )
        & ( ( ~ c1_1(a1466)
            & c0_1(a1466)
            & c2_1(a1466)
            & ndr1_0 )
          | ~ hskp21 )
        & ( ~ hskp18
          | ( ndr1_0
            & c0_1(a1458)
            & ~ c2_1(a1458)
            & c1_1(a1458) ) )
        & ( ~ hskp10
          | ( ~ c3_1(a1445)
            & c0_1(a1445)
            & ndr1_0
            & ~ c1_1(a1445) ) )
        & ( ! [X116] :
              ( ndr1_0
             => ( ~ c2_1(X116)
                | c0_1(X116)
                | ~ c3_1(X116) ) )
          | hskp12
          | ! [X117] :
              ( ndr1_0
             => ( c3_1(X117)
                | ~ c0_1(X117)
                | c1_1(X117) ) ) )
        & ( ! [X82] :
              ( ndr1_0
             => ( ~ c2_1(X82)
                | ~ c0_1(X82)
                | c1_1(X82) ) )
          | hskp0
          | hskp11 )
        & ( hskp6
          | ! [X48] :
              ( ndr1_0
             => ( ~ c3_1(X48)
                | c1_1(X48)
                | c0_1(X48) ) )
          | hskp7 )
        & ( ! [X24] :
              ( ndr1_0
             => ( ~ c3_1(X24)
                | c0_1(X24)
                | ~ c2_1(X24) ) )
          | ! [X25] :
              ( ndr1_0
             => ( ~ c1_1(X25)
                | ~ c3_1(X25)
                | c2_1(X25) ) )
          | hskp12 )
        & ( hskp3
          | hskp22
          | hskp17 )
        & ( ~ hskp29
          | ( c3_1(a1483)
            & c1_1(a1483)
            & ndr1_0
            & c2_1(a1483) ) )
        & ( hskp19
          | hskp3
          | ! [X55] :
              ( ndr1_0
             => ( ~ c1_1(X55)
                | ~ c3_1(X55)
                | c0_1(X55) ) ) )
        & ( ~ hskp30
          | ( c0_1(a1507)
            & c2_1(a1507)
            & c1_1(a1507)
            & ndr1_0 ) )
        & ( hskp27
          | hskp15
          | hskp13 )
        & ( ! [X40] :
              ( ndr1_0
             => ( ~ c2_1(X40)
                | ~ c3_1(X40)
                | ~ c1_1(X40) ) )
          | ! [X39] :
              ( ndr1_0
             => ( ~ c0_1(X39)
                | c1_1(X39)
                | ~ c3_1(X39) ) )
          | hskp28 )
        & ( ! [X11] :
              ( ndr1_0
             => ( c3_1(X11)
                | c2_1(X11)
                | c1_1(X11) ) )
          | ! [X10] :
              ( ndr1_0
             => ( c1_1(X10)
                | ~ c3_1(X10)
                | c0_1(X10) ) )
          | ! [X9] :
              ( ndr1_0
             => ( c3_1(X9)
                | c1_1(X9)
                | ~ c2_1(X9) ) ) )
        & ( ! [X90] :
              ( ndr1_0
             => ( ~ c1_1(X90)
                | c3_1(X90)
                | c2_1(X90) ) )
          | ! [X91] :
              ( ndr1_0
             => ( c0_1(X91)
                | c1_1(X91)
                | c3_1(X91) ) )
          | ! [X89] :
              ( ndr1_0
             => ( c3_1(X89)
                | c2_1(X89)
                | c1_1(X89) ) ) )
        & ( ! [X19] :
              ( ndr1_0
             => ( ~ c2_1(X19)
                | ~ c3_1(X19)
                | c1_1(X19) ) )
          | ! [X21] :
              ( ndr1_0
             => ( c2_1(X21)
                | ~ c3_1(X21)
                | c1_1(X21) ) )
          | ! [X20] :
              ( ndr1_0
             => ( ~ c2_1(X20)
                | ~ c1_1(X20)
                | ~ c0_1(X20) ) ) )
        & ( hskp5
          | ! [X5] :
              ( ndr1_0
             => ( c1_1(X5)
                | ~ c3_1(X5)
                | c0_1(X5) ) )
          | ! [X6] :
              ( ndr1_0
             => ( c3_1(X6)
                | ~ c2_1(X6)
                | c1_1(X6) ) ) )
        & ( hskp23
          | ! [X51] :
              ( ndr1_0
             => ( c3_1(X51)
                | ~ c2_1(X51)
                | ~ c0_1(X51) ) )
          | ! [X50] :
              ( ndr1_0
             => ( ~ c3_1(X50)
                | c1_1(X50)
                | ~ c2_1(X50) ) ) )
        & ( ~ hskp4
          | ( ndr1_0
            & ~ c2_1(a1434)
            & c3_1(a1434)
            & c1_1(a1434) ) )
        & ( ! [X67] :
              ( ndr1_0
             => ( ~ c1_1(X67)
                | ~ c2_1(X67)
                | c3_1(X67) ) )
          | ! [X66] :
              ( ndr1_0
             => ( c2_1(X66)
                | c3_1(X66)
                | ~ c1_1(X66) ) )
          | hskp12 )
        & ( hskp2
          | hskp1
          | ! [X62] :
              ( ndr1_0
             => ( c2_1(X62)
                | c0_1(X62)
                | c1_1(X62) ) ) )
        & ( ! [X37] :
              ( ndr1_0
             => ( ~ c2_1(X37)
                | ~ c3_1(X37)
                | c0_1(X37) ) )
          | hskp15
          | ! [X38] :
              ( ndr1_0
             => ( c0_1(X38)
                | ~ c2_1(X38)
                | ~ c1_1(X38) ) ) )
        & ( ! [X94] :
              ( ndr1_0
             => ( ~ c1_1(X94)
                | ~ c3_1(X94)
                | c0_1(X94) ) )
          | ! [X95] :
              ( ndr1_0
             => ( ~ c2_1(X95)
                | ~ c0_1(X95)
                | ~ c3_1(X95) ) )
          | hskp18 )
        & ( ! [X75] :
              ( ndr1_0
             => ( c0_1(X75)
                | ~ c3_1(X75)
                | ~ c1_1(X75) ) )
          | ! [X76] :
              ( ndr1_0
             => ( ~ c2_1(X76)
                | c1_1(X76)
                | c0_1(X76) ) )
          | hskp0 )
        & ( ! [X3] :
              ( ndr1_0
             => ( c2_1(X3)
                | c1_1(X3)
                | c3_1(X3) ) )
          | hskp7
          | ! [X4] :
              ( ndr1_0
             => ( ~ c1_1(X4)
                | ~ c3_1(X4)
                | ~ c2_1(X4) ) ) )
        & ( ! [X60] :
              ( ndr1_0
             => ( c2_1(X60)
                | ~ c3_1(X60)
                | ~ c0_1(X60) ) )
          | ! [X61] :
              ( ndr1_0
             => ( c0_1(X61)
                | ~ c3_1(X61)
                | c1_1(X61) ) )
          | hskp5 )
        & ( ! [X28] :
              ( ndr1_0
             => ( ~ c1_1(X28)
                | c2_1(X28)
                | c3_1(X28) ) )
          | ! [X29] :
              ( ndr1_0
             => ( ~ c3_1(X29)
                | c2_1(X29)
                | ~ c1_1(X29) ) )
          | hskp7 )
        & ( ( ndr1_0
            & ~ c2_1(a1448)
            & c3_1(a1448)
            & c0_1(a1448) )
          | ~ hskp12 )
        & ( ~ hskp26
          | ( ~ c0_1(a1517)
            & ndr1_0
            & c2_1(a1517)
            & ~ c3_1(a1517) ) )
        & ( ( ~ c0_1(a1468)
            & c1_1(a1468)
            & ndr1_0
            & c3_1(a1468) )
          | ~ hskp22 )
        & ( ! [X34] :
              ( ndr1_0
             => ( ~ c2_1(X34)
                | c1_1(X34)
                | c0_1(X34) ) )
          | hskp4
          | hskp0 )
        & ( ~ hskp25
          | ( ndr1_0
            & c1_1(a1504)
            & c2_1(a1504)
            & ~ c0_1(a1504) ) )
        & ( hskp29
          | hskp26
          | hskp0 )
        & ( hskp18
          | ! [X35] :
              ( ndr1_0
             => ( ~ c1_1(X35)
                | c3_1(X35)
                | c2_1(X35) ) )
          | ! [X36] :
              ( ndr1_0
             => ( c3_1(X36)
                | c2_1(X36)
                | ~ c0_1(X36) ) ) )
        & ( ( ~ c2_1(a1444)
            & ~ c1_1(a1444)
            & ndr1_0
            & ~ c3_1(a1444) )
          | ~ hskp9 )
        & ( ( c3_1(a1441)
            & c0_1(a1441)
            & ~ c1_1(a1441)
            & ndr1_0 )
          | ~ hskp8 )
        & ( hskp27
          | ! [X104] :
              ( ndr1_0
             => ( ~ c3_1(X104)
                | ~ c1_1(X104)
                | ~ c2_1(X104) ) )
          | ! [X105] :
              ( ndr1_0
             => ( c2_1(X105)
                | c1_1(X105)
                | c0_1(X105) ) ) )
        & ( hskp16
          | ! [X18] :
              ( ndr1_0
             => ( ~ c1_1(X18)
                | c0_1(X18)
                | ~ c2_1(X18) ) )
          | hskp7 )
        & ( ( ~ c0_1(a1438)
            & c3_1(a1438)
            & ndr1_0
            & ~ c1_1(a1438) )
          | ~ hskp7 )
        & ( ! [X47] :
              ( ndr1_0
             => ( c2_1(X47)
                | ~ c3_1(X47)
                | ~ c0_1(X47) ) )
          | hskp22
          | ! [X46] :
              ( ndr1_0
             => ( c1_1(X46)
                | ~ c3_1(X46)
                | c2_1(X46) ) ) )
        & ( ~ hskp19
          | ( ~ c3_1(a1460)
            & ndr1_0
            & ~ c1_1(a1460)
            & ~ c0_1(a1460) ) )
        & ( hskp13
          | ! [X49] :
              ( ndr1_0
             => ( c0_1(X49)
                | c3_1(X49)
                | ~ c1_1(X49) ) )
          | hskp1 )
        & ( ! [X71] :
              ( ndr1_0
             => ( c1_1(X71)
                | c2_1(X71)
                | c0_1(X71) ) )
          | hskp0
          | ! [X70] :
              ( ndr1_0
             => ( c3_1(X70)
                | ~ c0_1(X70)
                | c2_1(X70) ) ) )
        & ( ~ hskp24
          | ( c3_1(a1487)
            & ~ c1_1(a1487)
            & ~ c2_1(a1487)
            & ndr1_0 ) )
        & ( ! [X16] :
              ( ndr1_0
             => ( ~ c3_1(X16)
                | c0_1(X16)
                | ~ c1_1(X16) ) )
          | ! [X15] :
              ( ndr1_0
             => ( ~ c2_1(X15)
                | c0_1(X15)
                | c1_1(X15) ) )
          | ! [X14] :
              ( ndr1_0
             => ( ~ c0_1(X14)
                | ~ c3_1(X14)
                | c2_1(X14) ) ) )
        & ( hskp28
          | ! [X63] :
              ( ndr1_0
             => ( c2_1(X63)
                | ~ c1_1(X63)
                | ~ c0_1(X63) ) )
          | ! [X64] :
              ( ndr1_0
             => ( ~ c1_1(X64)
                | ~ c3_1(X64)
                | c0_1(X64) ) ) )
        & ( ! [X106] :
              ( ndr1_0
             => ( c3_1(X106)
                | ~ c0_1(X106)
                | ~ c2_1(X106) ) )
          | hskp12
          | hskp11 )
        & ( ! [X88] :
              ( ndr1_0
             => ( c3_1(X88)
                | c2_1(X88)
                | ~ c1_1(X88) ) )
          | hskp0
          | hskp3 )
        & ( hskp11
          | ! [X12] :
              ( ndr1_0
             => ( c3_1(X12)
                | ~ c0_1(X12)
                | c2_1(X12) ) )
          | ! [X13] :
              ( ndr1_0
             => ( ~ c0_1(X13)
                | ~ c3_1(X13)
                | ~ c2_1(X13) ) ) )
        & ( hskp5
          | hskp11
          | ! [X85] :
              ( ndr1_0
             => ( c0_1(X85)
                | ~ c3_1(X85)
                | c2_1(X85) ) ) )
        & ( ! [X1] :
              ( ndr1_0
             => ( ~ c3_1(X1)
                | ~ c2_1(X1)
                | ~ c0_1(X1) ) )
          | ! [X2] :
              ( ndr1_0
             => ( c0_1(X2)
                | c1_1(X2)
                | ~ c2_1(X2) ) )
          | ! [X0] :
              ( ndr1_0
             => ( ~ c3_1(X0)
                | c1_1(X0)
                | ~ c2_1(X0) ) ) )
        & ( ! [X77] :
              ( ndr1_0
             => ( c0_1(X77)
                | ~ c1_1(X77)
                | ~ c2_1(X77) ) )
          | ! [X78] :
              ( ndr1_0
             => ( ~ c0_1(X78)
                | c3_1(X78)
                | c1_1(X78) ) )
          | hskp7 )
        & ( ! [X8] :
              ( ndr1_0
             => ( c2_1(X8)
                | ~ c3_1(X8)
                | c0_1(X8) ) )
          | hskp10
          | ! [X7] :
              ( ndr1_0
             => ( c2_1(X7)
                | ~ c0_1(X7)
                | ~ c1_1(X7) ) ) )
        & ( ~ hskp6
          | ( c0_1(a1437)
            & ~ c2_1(a1437)
            & ~ c1_1(a1437)
            & ndr1_0 ) )
        & ( ! [X87] :
              ( ndr1_0
             => ( c3_1(X87)
                | ~ c2_1(X87)
                | ~ c1_1(X87) ) )
          | ! [X86] :
              ( ndr1_0
             => ( ~ c2_1(X86)
                | ~ c1_1(X86)
                | ~ c0_1(X86) ) )
          | hskp23 )
        & ( ~ hskp27
          | ( c0_1(a1428)
            & c2_1(a1428)
            & c3_1(a1428)
            & ndr1_0 ) )
        & ( hskp24
          | hskp30 )
        & ( ! [X97] :
              ( ndr1_0
             => ( ~ c3_1(X97)
                | c2_1(X97)
                | c0_1(X97) ) )
          | ! [X96] :
              ( ndr1_0
             => ( ~ c2_1(X96)
                | c0_1(X96)
                | c3_1(X96) ) )
          | hskp9 )
        & ( ! [X110] :
              ( ndr1_0
             => ( ~ c1_1(X110)
                | c2_1(X110)
                | ~ c3_1(X110) ) )
          | ! [X111] :
              ( ndr1_0
             => ( c3_1(X111)
                | c2_1(X111)
                | c0_1(X111) ) )
          | hskp0 )
        & ( hskp17
          | ! [X53] :
              ( ndr1_0
             => ( ~ c2_1(X53)
                | c1_1(X53)
                | ~ c0_1(X53) ) )
          | ! [X54] :
              ( ndr1_0
             => ( c1_1(X54)
                | c3_1(X54)
                | c2_1(X54) ) ) )
        & ( hskp3
          | ! [X59] :
              ( ndr1_0
             => ( ~ c0_1(X59)
                | ~ c1_1(X59)
                | c3_1(X59) ) )
          | hskp22 )
        & ( ! [X68] :
              ( ndr1_0
             => ( c2_1(X68)
                | c3_1(X68)
                | ~ c0_1(X68) ) )
          | hskp29
          | hskp5 )
        & ( ! [X93] :
              ( ndr1_0
             => ( c1_1(X93)
                | c2_1(X93)
                | ~ c0_1(X93) ) )
          | hskp20
          | ! [X92] :
              ( ndr1_0
             => ( c3_1(X92)
                | ~ c1_1(X92)
                | ~ c0_1(X92) ) ) ) ),
    inference(rectify,[],[f2]) ).

fof(f2,negated_conjecture,
    ~ ~ ( ( hskp12
          | hskp8
          | hskp14 )
        & ( ! [X19] :
              ( ndr1_0
             => ( ~ c3_1(X19)
                | ~ c2_1(X19)
                | c1_1(X19) ) )
          | ! [X20] :
              ( ndr1_0
             => ( ~ c2_1(X20)
                | ~ c0_1(X20)
                | ~ c3_1(X20) ) )
          | ! [X18] :
              ( ndr1_0
             => ( c0_1(X18)
                | c1_1(X18)
                | ~ c2_1(X18) ) ) )
        & ( ! [X71] :
              ( ndr1_0
             => ( c1_1(X71)
                | c3_1(X71)
                | c2_1(X71) ) )
          | hskp7
          | ! [X72] :
              ( ndr1_0
             => ( ~ c2_1(X72)
                | ~ c1_1(X72)
                | ~ c3_1(X72) ) ) )
        & ( ~ hskp1
          | ( c3_1(a1429)
            & ndr1_0
            & c2_1(a1429)
            & ~ c0_1(a1429) ) )
        & ( hskp5
          | ! [X25] :
              ( ndr1_0
             => ( ~ c3_1(X25)
                | c0_1(X25)
                | c1_1(X25) ) )
          | ! [X26] :
              ( ndr1_0
             => ( c3_1(X26)
                | c1_1(X26)
                | ~ c2_1(X26) ) ) )
        & ( hskp10
          | ! [X43] :
              ( ndr1_0
             => ( ~ c1_1(X43)
                | ~ c0_1(X43)
                | c2_1(X43) ) )
          | ! [X42] :
              ( ndr1_0
             => ( c0_1(X42)
                | c2_1(X42)
                | ~ c3_1(X42) ) ) )
        & ( ! [X24] :
              ( ndr1_0
             => ( c1_1(X24)
                | c3_1(X24)
                | ~ c2_1(X24) ) )
          | ! [X22] :
              ( ndr1_0
             => ( c1_1(X22)
                | c0_1(X22)
                | ~ c3_1(X22) ) )
          | ! [X23] :
              ( ndr1_0
             => ( c1_1(X23)
                | c2_1(X23)
                | c3_1(X23) ) ) )
        & ( ~ hskp28
          | ( ndr1_0
            & c1_1(a1456)
            & c0_1(a1456)
            & c3_1(a1456) ) )
        & ( ! [X102] :
              ( ndr1_0
             => ( c3_1(X102)
                | c2_1(X102)
                | ~ c0_1(X102) ) )
          | ! [X103] :
              ( ndr1_0
             => ( ~ c2_1(X103)
                | ~ c0_1(X103)
                | ~ c3_1(X103) ) )
          | hskp11 )
        & ( ! [X15] :
              ( ndr1_0
             => ( ~ c3_1(X15)
                | ~ c0_1(X15)
                | c2_1(X15) ) )
          | ! [X13] :
              ( ndr1_0
             => ( ~ c2_1(X13)
                | c1_1(X13)
                | c0_1(X13) ) )
          | ! [X14] :
              ( ndr1_0
             => ( c0_1(X14)
                | ~ c3_1(X14)
                | ~ c1_1(X14) ) ) )
        & ( ~ hskp10
          | ( ~ c3_1(a1445)
            & c0_1(a1445)
            & ndr1_0
            & ~ c1_1(a1445) ) )
        & ( ~ hskp25
          | ( ndr1_0
            & c1_1(a1504)
            & c2_1(a1504)
            & ~ c0_1(a1504) ) )
        & ( hskp3
          | hskp22
          | hskp17 )
        & ( hskp17
          | hskp5
          | ! [X112] :
              ( ndr1_0
             => ( ~ c3_1(X112)
                | ~ c0_1(X112)
                | c2_1(X112) ) ) )
        & ( hskp16
          | ! [X57] :
              ( ndr1_0
             => ( ~ c1_1(X57)
                | ~ c2_1(X57)
                | c0_1(X57) ) )
          | hskp7 )
        & ( ( c3_1(a1441)
            & c0_1(a1441)
            & ~ c1_1(a1441)
            & ndr1_0 )
          | ~ hskp8 )
        & ( ! [X80] :
              ( ndr1_0
             => ( ~ c3_1(X80)
                | c1_1(X80)
                | ~ c2_1(X80) ) )
          | ! [X81] :
              ( ndr1_0
             => ( ~ c0_1(X81)
                | ~ c2_1(X81)
                | ~ c1_1(X81) ) )
          | ! [X79] :
              ( ndr1_0
             => ( c1_1(X79)
                | c2_1(X79)
                | ~ c3_1(X79) ) ) )
        & ( ~ hskp20
          | ( ndr1_0
            & c3_1(a1465)
            & ~ c1_1(a1465)
            & c2_1(a1465) ) )
        & ( hskp27
          | hskp15
          | hskp13 )
        & ( ! [X31] :
              ( ndr1_0
             => ( c1_1(X31)
                | c3_1(X31)
                | c2_1(X31) ) )
          | ! [X30] :
              ( ndr1_0
             => ( c0_1(X30)
                | c2_1(X30)
                | c3_1(X30) ) )
          | hskp2 )
        & ( hskp25
          | hskp17
          | hskp2 )
        & ( hskp24
          | hskp30 )
        & ( hskp12
          | ! [X67] :
              ( ndr1_0
             => ( ~ c2_1(X67)
                | c0_1(X67)
                | ~ c3_1(X67) ) )
          | ! [X68] :
              ( ndr1_0
             => ( ~ c1_1(X68)
                | c2_1(X68)
                | ~ c3_1(X68) ) ) )
        & ( ( c2_1(a1451)
            & ndr1_0
            & ~ c1_1(a1451)
            & ~ c3_1(a1451) )
          | ~ hskp14 )
        & ( ! [X96] :
              ( ndr1_0
             => ( ~ c1_1(X96)
                | ~ c0_1(X96)
                | c3_1(X96) ) )
          | ! [X95] :
              ( ndr1_0
             => ( ~ c3_1(X95)
                | c1_1(X95)
                | ~ c2_1(X95) ) )
          | hskp8 )
        & ( hskp7
          | ! [X107] :
              ( ndr1_0
             => ( c2_1(X107)
                | c3_1(X107)
                | ~ c1_1(X107) ) )
          | ! [X108] :
              ( ndr1_0
             => ( ~ c3_1(X108)
                | c2_1(X108)
                | ~ c1_1(X108) ) ) )
        & ( hskp14
          | ! [X49] :
              ( ndr1_0
             => ( c0_1(X49)
                | ~ c2_1(X49)
                | ~ c1_1(X49) ) )
          | ! [X48] :
              ( ndr1_0
             => ( c3_1(X48)
                | ~ c2_1(X48)
                | c0_1(X48) ) ) )
        & ( ( ndr1_0
            & ~ c2_1(a1448)
            & c3_1(a1448)
            & c0_1(a1448) )
          | ~ hskp12 )
        & ( ! [X77] :
              ( ndr1_0
             => ( c1_1(X77)
                | c2_1(X77)
                | ~ c0_1(X77) ) )
          | hskp19
          | ! [X78] :
              ( ndr1_0
             => ( ~ c2_1(X78)
                | c3_1(X78)
                | ~ c0_1(X78) ) ) )
        & ( ( ~ c1_1(a1466)
            & c0_1(a1466)
            & c2_1(a1466)
            & ndr1_0 )
          | ~ hskp21 )
        & ( hskp4
          | ! [X21] :
              ( ndr1_0
             => ( ~ c2_1(X21)
                | c1_1(X21)
                | c0_1(X21) ) )
          | hskp0 )
        & ( ! [X101] :
              ( ndr1_0
             => ( ~ c1_1(X101)
                | c2_1(X101)
                | c3_1(X101) ) )
          | hskp18
          | ! [X100] :
              ( ndr1_0
             => ( c2_1(X100)
                | ~ c0_1(X100)
                | c3_1(X100) ) ) )
        & ( hskp15
          | ! [X51] :
              ( ndr1_0
             => ( c0_1(X51)
                | ~ c2_1(X51)
                | ~ c3_1(X51) ) )
          | ! [X50] :
              ( ndr1_0
             => ( c0_1(X50)
                | ~ c2_1(X50)
                | ~ c1_1(X50) ) ) )
        & ( ~ hskp24
          | ( c3_1(a1487)
            & ~ c1_1(a1487)
            & ~ c2_1(a1487)
            & ndr1_0 ) )
        & ( ~ hskp17
          | ( ~ c2_1(a1457)
            & ~ c1_1(a1457)
            & ndr1_0
            & ~ c0_1(a1457) ) )
        & ( hskp29
          | hskp26
          | hskp0 )
        & ( ~ hskp27
          | ( c0_1(a1428)
            & c2_1(a1428)
            & c3_1(a1428)
            & ndr1_0 ) )
        & ( hskp14
          | hskp19
          | hskp8 )
        & ( ! [X93] :
              ( ndr1_0
             => ( ~ c0_1(X93)
                | ~ c3_1(X93)
                | c1_1(X93) ) )
          | hskp28
          | ! [X94] :
              ( ndr1_0
             => ( ~ c1_1(X94)
                | ~ c3_1(X94)
                | ~ c2_1(X94) ) ) )
        & ( ! [X36] :
              ( ndr1_0
             => ( ~ c1_1(X36)
                | ~ c3_1(X36)
                | ~ c0_1(X36) ) )
          | hskp0
          | ! [X35] :
              ( ndr1_0
             => ( c2_1(X35)
                | ~ c1_1(X35)
                | c0_1(X35) ) ) )
        & ( hskp8
          | hskp7
          | ! [X34] :
              ( ndr1_0
             => ( c2_1(X34)
                | c0_1(X34)
                | c3_1(X34) ) ) )
        & ( ~ hskp26
          | ( ~ c0_1(a1517)
            & ndr1_0
            & c2_1(a1517)
            & ~ c3_1(a1517) ) )
        & ( ~ hskp23
          | ( c2_1(a1477)
            & ndr1_0
            & ~ c0_1(a1477)
            & ~ c1_1(a1477) ) )
        & ( hskp13
          | ! [X91] :
              ( ndr1_0
             => ( ~ c0_1(X91)
                | ~ c1_1(X91)
                | ~ c3_1(X91) ) )
          | ! [X90] :
              ( ndr1_0
             => ( c1_1(X90)
                | c3_1(X90)
                | ~ c2_1(X90) ) ) )
        & ( ( ~ c0_1(a1454)
            & ndr1_0
            & c1_1(a1454)
            & ~ c3_1(a1454) )
          | ~ hskp16 )
        & ( hskp22
          | ! [X82] :
              ( ndr1_0
             => ( c1_1(X82)
                | c2_1(X82)
                | ~ c3_1(X82) ) )
          | ! [X83] :
              ( ndr1_0
             => ( ~ c0_1(X83)
                | ~ c3_1(X83)
                | c2_1(X83) ) ) )
        & ( hskp6
          | hskp7
          | ! [X29] :
              ( ndr1_0
             => ( c0_1(X29)
                | ~ c3_1(X29)
                | c1_1(X29) ) ) )
        & ( ~ hskp30
          | ( c0_1(a1507)
            & c2_1(a1507)
            & c1_1(a1507)
            & ndr1_0 ) )
        & ( hskp13
          | hskp1
          | ! [X47] :
              ( ndr1_0
             => ( c3_1(X47)
                | ~ c1_1(X47)
                | c0_1(X47) ) ) )
        & ( ! [X97] :
              ( ndr1_0
             => ( ~ c3_1(X97)
                | ~ c2_1(X97)
                | c1_1(X97) ) )
          | ! [X98] :
              ( ndr1_0
             => ( ~ c0_1(X98)
                | c3_1(X98)
                | ~ c2_1(X98) ) )
          | hskp23 )
        & ( hskp9
          | hskp21
          | ! [X118] :
              ( ndr1_0
             => ( ~ c2_1(X118)
                | ~ c0_1(X118)
                | ~ c1_1(X118) ) ) )
        & ( ! [X70] :
              ( ndr1_0
             => ( c1_1(X70)
                | ~ c0_1(X70)
                | ~ c2_1(X70) ) )
          | hskp17
          | ! [X69] :
              ( ndr1_0
             => ( c3_1(X69)
                | c2_1(X69)
                | c1_1(X69) ) ) )
        & ( hskp3
          | hskp19
          | ! [X64] :
              ( ndr1_0
             => ( c0_1(X64)
                | ~ c3_1(X64)
                | ~ c1_1(X64) ) ) )
        & ( ~ hskp18
          | ( ndr1_0
            & c0_1(a1458)
            & ~ c2_1(a1458)
            & c1_1(a1458) ) )
        & ( ! [X39] :
              ( ndr1_0
             => ( c0_1(X39)
                | ~ c3_1(X39)
                | c2_1(X39) ) )
          | ! [X40] :
              ( ndr1_0
             => ( ~ c0_1(X40)
                | c1_1(X40)
                | c2_1(X40) ) )
          | ! [X41] :
              ( ndr1_0
             => ( c3_1(X41)
                | c1_1(X41)
                | ~ c2_1(X41) ) ) )
        & ( hskp3
          | ! [X113] :
              ( ndr1_0
             => ( ~ c0_1(X113)
                | c3_1(X113)
                | ~ c1_1(X113) ) )
          | hskp22 )
        & ( ! [X28] :
              ( ndr1_0
             => ( c2_1(X28)
                | ~ c0_1(X28)
                | ~ c3_1(X28) ) )
          | ! [X27] :
              ( ndr1_0
             => ( c0_1(X27)
                | c1_1(X27)
                | ~ c3_1(X27) ) )
          | hskp5 )
        & ( ~ hskp6
          | ( c0_1(a1437)
            & ~ c2_1(a1437)
            & ~ c1_1(a1437)
            & ndr1_0 ) )
        & ( ! [X7] :
              ( ndr1_0
             => ( c0_1(X7)
                | c2_1(X7)
                | c1_1(X7) ) )
          | hskp1
          | hskp2 )
        & ( hskp28
          | ! [X59] :
              ( ndr1_0
             => ( c2_1(X59)
                | ~ c0_1(X59)
                | ~ c1_1(X59) ) )
          | ! [X58] :
              ( ndr1_0
             => ( c0_1(X58)
                | ~ c3_1(X58)
                | ~ c1_1(X58) ) ) )
        & ( hskp4
          | hskp24
          | ! [X106] :
              ( ndr1_0
             => ( c3_1(X106)
                | ~ c0_1(X106)
                | c2_1(X106) ) ) )
        & ( ! [X109] :
              ( ndr1_0
             => ( c2_1(X109)
                | c3_1(X109)
                | ~ c1_1(X109) ) )
          | hskp12
          | ! [X110] :
              ( ndr1_0
             => ( ~ c1_1(X110)
                | c3_1(X110)
                | ~ c2_1(X110) ) ) )
        & ( hskp29
          | hskp5
          | ! [X104] :
              ( ndr1_0
             => ( ~ c0_1(X104)
                | c3_1(X104)
                | c2_1(X104) ) ) )
        & ( hskp20
          | hskp11
          | ! [X99] :
              ( ndr1_0
             => ( c1_1(X99)
                | ~ c2_1(X99)
                | ~ c3_1(X99) ) ) )
        & ( hskp0
          | ! [X4] :
              ( ndr1_0
             => ( ~ c0_1(X4)
                | c2_1(X4)
                | c3_1(X4) ) )
          | ! [X3] :
              ( ndr1_0
             => ( c0_1(X3)
                | c2_1(X3)
                | c1_1(X3) ) ) )
        & ( ! [X89] :
              ( ndr1_0
             => ( ~ c3_1(X89)
                | c1_1(X89)
                | c2_1(X89) ) )
          | hskp22
          | hskp9 )
        & ( ~ hskp19
          | ( ~ c3_1(a1460)
            & ndr1_0
            & ~ c1_1(a1460)
            & ~ c0_1(a1460) ) )
        & ( ( ~ c0_1(a1438)
            & c3_1(a1438)
            & ndr1_0
            & ~ c1_1(a1438) )
          | ~ hskp7 )
        & ( hskp30
          | hskp3
          | hskp8 )
        & ( ( c1_1(a1449)
            & ~ c3_1(a1449)
            & ndr1_0
            & c2_1(a1449) )
          | ~ hskp13 )
        & ( ! [X60] :
              ( ndr1_0
             => ( c0_1(X60)
                | ~ c1_1(X60)
                | ~ c3_1(X60) ) )
          | hskp17
          | ! [X61] :
              ( ndr1_0
             => ( ~ c3_1(X61)
                | ~ c0_1(X61)
                | c2_1(X61) ) ) )
        & ( ! [X17] :
              ( ndr1_0
             => ( ~ c1_1(X17)
                | c0_1(X17)
                | ~ c3_1(X17) ) )
          | ! [X16] :
              ( ndr1_0
             => ( ~ c2_1(X16)
                | c0_1(X16)
                | c1_1(X16) ) )
          | hskp0 )
        & ( ( ~ c2_1(a1444)
            & ~ c1_1(a1444)
            & ndr1_0
            & ~ c3_1(a1444) )
          | ~ hskp9 )
        & ( hskp7
          | ! [X52] :
              ( ndr1_0
             => ( ~ c2_1(X52)
                | ~ c1_1(X52)
                | c0_1(X52) ) )
          | ! [X53] :
              ( ndr1_0
             => ( ~ c0_1(X53)
                | c3_1(X53)
                | c1_1(X53) ) ) )
        & ( ! [X76] :
              ( ndr1_0
             => ( c3_1(X76)
                | ~ c0_1(X76)
                | ~ c2_1(X76) ) )
          | ! [X75] :
              ( ndr1_0
             => ( c1_1(X75)
                | ~ c0_1(X75)
                | c2_1(X75) ) )
          | hskp21 )
        & ( ! [X105] :
              ( ndr1_0
             => ( c2_1(X105)
                | c3_1(X105)
                | ~ c0_1(X105) ) )
          | hskp4
          | hskp7 )
        & ( ~ hskp29
          | ( c3_1(a1483)
            & c1_1(a1483)
            & ndr1_0
            & c2_1(a1483) ) )
        & ( hskp10
          | hskp12
          | hskp1 )
        & ( hskp11
          | hskp0
          | ! [X92] :
              ( ndr1_0
             => ( c1_1(X92)
                | ~ c2_1(X92)
                | ~ c0_1(X92) ) ) )
        & ( ~ hskp0
          | ( c0_1(a1427)
            & ndr1_0
            & c2_1(a1427)
            & ~ c3_1(a1427) ) )
        & ( hskp12
          | ! [X46] :
              ( ndr1_0
             => ( ~ c1_1(X46)
                | c3_1(X46)
                | c2_1(X46) ) )
          | ! [X45] :
              ( ndr1_0
             => ( ~ c1_1(X45)
                | c3_1(X45)
                | c0_1(X45) ) ) )
        & ( ~ hskp3
          | ( ~ c2_1(a1431)
            & ndr1_0
            & ~ c0_1(a1431)
            & c1_1(a1431) ) )
        & ( ! [X44] :
              ( ndr1_0
             => ( ~ c3_1(X44)
                | c2_1(X44)
                | c0_1(X44) ) )
          | hskp11
          | hskp5 )
        & ( ! [X117] :
              ( ndr1_0
             => ( ~ c2_1(X117)
                | ~ c0_1(X117)
                | ~ c1_1(X117) ) )
          | ! [X116] :
              ( ndr1_0
             => ( ~ c2_1(X116)
                | c3_1(X116)
                | ~ c1_1(X116) ) )
          | hskp23 )
        & ( hskp3
          | hskp0
          | ! [X111] :
              ( ndr1_0
             => ( ~ c1_1(X111)
                | c3_1(X111)
                | c2_1(X111) ) ) )
        & ( ( ~ c0_1(a1468)
            & c1_1(a1468)
            & ndr1_0
            & c3_1(a1468) )
          | ~ hskp22 )
        & ( ( ~ c3_1(a1447)
            & ~ c2_1(a1447)
            & ndr1_0
            & ~ c0_1(a1447) )
          | ~ hskp11 )
        & ( ! [X11] :
              ( ndr1_0
             => ( c2_1(X11)
                | c3_1(X11)
                | c1_1(X11) ) )
          | ! [X12] :
              ( ndr1_0
             => ( c2_1(X12)
                | ~ c1_1(X12)
                | c3_1(X12) ) )
          | ! [X10] :
              ( ndr1_0
             => ( c0_1(X10)
                | c3_1(X10)
                | c1_1(X10) ) ) )
        & ( ! [X74] :
              ( ndr1_0
             => ( ~ c0_1(X74)
                | ~ c1_1(X74)
                | c3_1(X74) ) )
          | hskp20
          | ! [X73] :
              ( ndr1_0
             => ( ~ c0_1(X73)
                | c2_1(X73)
                | c1_1(X73) ) ) )
        & ( ! [X62] :
              ( ndr1_0
             => ( c0_1(X62)
                | ~ c1_1(X62)
                | ~ c3_1(X62) ) )
          | ! [X63] :
              ( ndr1_0
             => ( ~ c3_1(X63)
                | ~ c0_1(X63)
                | ~ c2_1(X63) ) )
          | hskp18 )
        & ( ~ hskp4
          | ( ndr1_0
            & ~ c2_1(a1434)
            & c3_1(a1434)
            & c1_1(a1434) ) )
        & ( ! [X38] :
              ( ndr1_0
             => ( ~ c2_1(X38)
                | c3_1(X38)
                | c0_1(X38) ) )
          | hskp9
          | ! [X37] :
              ( ndr1_0
             => ( c0_1(X37)
                | c2_1(X37)
                | ~ c3_1(X37) ) ) )
        & ( ! [X1] :
              ( ndr1_0
             => ( c3_1(X1)
                | c1_1(X1)
                | ~ c0_1(X1) ) )
          | ! [X0] :
              ( ndr1_0
             => ( c0_1(X0)
                | c2_1(X0)
                | c1_1(X0) ) )
          | ! [X2] :
              ( ndr1_0
             => ( ~ c2_1(X2)
                | ~ c0_1(X2)
                | c1_1(X2) ) ) )
        & ( ( ~ c2_1(a1430)
            & ndr1_0
            & ~ c0_1(a1430)
            & c3_1(a1430) )
          | ~ hskp2 )
        & ( ! [X8] :
              ( ndr1_0
             => ( c0_1(X8)
                | c3_1(X8)
                | c1_1(X8) ) )
          | ! [X9] :
              ( ndr1_0
             => ( c0_1(X9)
                | ~ c1_1(X9)
                | ~ c3_1(X9) ) )
          | hskp3 )
        & ( ~ hskp15
          | ( c0_1(a1452)
            & ~ c3_1(a1452)
            & ndr1_0
            & c1_1(a1452) ) )
        & ( ! [X119] :
              ( ndr1_0
             => ( ~ c3_1(X119)
                | ~ c0_1(X119)
                | ~ c1_1(X119) ) )
          | hskp25
          | hskp0 )
        & ( ! [X6] :
              ( ndr1_0
             => ( ~ c1_1(X6)
                | ~ c2_1(X6)
                | ~ c3_1(X6) ) )
          | ! [X5] :
              ( ndr1_0
             => ( c2_1(X5)
                | c0_1(X5)
                | c1_1(X5) ) )
          | hskp27 )
        & ( hskp11
          | ! [X115] :
              ( ndr1_0
             => ( ~ c0_1(X115)
                | ~ c2_1(X115)
                | c3_1(X115) ) )
          | hskp12 )
        & ( ! [X86] :
              ( ndr1_0
             => ( ~ c3_1(X86)
                | ~ c2_1(X86)
                | ~ c0_1(X86) ) )
          | ! [X84] :
              ( ndr1_0
             => ( ~ c3_1(X84)
                | c1_1(X84)
                | c2_1(X84) ) )
          | ! [X85] :
              ( ndr1_0
             => ( c3_1(X85)
                | ~ c1_1(X85)
                | ~ c0_1(X85) ) ) )
        & ( ! [X33] :
              ( ndr1_0
             => ( c2_1(X33)
                | ~ c3_1(X33)
                | ~ c1_1(X33) ) )
          | ! [X32] :
              ( ndr1_0
             => ( c2_1(X32)
                | c3_1(X32)
                | c0_1(X32) ) )
          | hskp0 )
        & ( ~ hskp5
          | ( ~ c3_1(a1435)
            & c0_1(a1435)
            & ndr1_0
            & ~ c2_1(a1435) ) )
        & ( ! [X55] :
              ( ndr1_0
             => ( c1_1(X55)
                | c3_1(X55)
                | ~ c2_1(X55) ) )
          | ! [X54] :
              ( ndr1_0
             => ( c0_1(X54)
                | ~ c1_1(X54)
                | ~ c2_1(X54) ) )
          | ! [X56] :
              ( ndr1_0
             => ( c3_1(X56)
                | ~ c0_1(X56)
                | c2_1(X56) ) ) )
        & ( hskp16
          | hskp14
          | ! [X114] :
              ( ndr1_0
             => ( ~ c0_1(X114)
                | c3_1(X114)
                | ~ c1_1(X114) ) ) )
        & ( ! [X65] :
              ( ndr1_0
             => ( ~ c3_1(X65)
                | ~ c2_1(X65)
                | c0_1(X65) ) )
          | hskp12
          | ! [X66] :
              ( ndr1_0
             => ( c3_1(X66)
                | ~ c0_1(X66)
                | c1_1(X66) ) ) )
        & ( hskp25
          | hskp13
          | ! [X120] :
              ( ndr1_0
             => ( ~ c2_1(X120)
                | ~ c1_1(X120)
                | ~ c3_1(X120) ) ) )
        & ( ! [X88] :
              ( ndr1_0
             => ( ~ c0_1(X88)
                | ~ c1_1(X88)
                | ~ c3_1(X88) ) )
          | ! [X87] :
              ( ndr1_0
             => ( c1_1(X87)
                | ~ c3_1(X87)
                | c2_1(X87) ) )
          | hskp6 ) ),
    inference(negated_conjecture,[],[f1]) ).

fof(f1,conjecture,
    ~ ( ( hskp12
        | hskp8
        | hskp14 )
      & ( ! [X19] :
            ( ndr1_0
           => ( ~ c3_1(X19)
              | ~ c2_1(X19)
              | c1_1(X19) ) )
        | ! [X20] :
            ( ndr1_0
           => ( ~ c2_1(X20)
              | ~ c0_1(X20)
              | ~ c3_1(X20) ) )
        | ! [X18] :
            ( ndr1_0
           => ( c0_1(X18)
              | c1_1(X18)
              | ~ c2_1(X18) ) ) )
      & ( ! [X71] :
            ( ndr1_0
           => ( c1_1(X71)
              | c3_1(X71)
              | c2_1(X71) ) )
        | hskp7
        | ! [X72] :
            ( ndr1_0
           => ( ~ c2_1(X72)
              | ~ c1_1(X72)
              | ~ c3_1(X72) ) ) )
      & ( ~ hskp1
        | ( c3_1(a1429)
          & ndr1_0
          & c2_1(a1429)
          & ~ c0_1(a1429) ) )
      & ( hskp5
        | ! [X25] :
            ( ndr1_0
           => ( ~ c3_1(X25)
              | c0_1(X25)
              | c1_1(X25) ) )
        | ! [X26] :
            ( ndr1_0
           => ( c3_1(X26)
              | c1_1(X26)
              | ~ c2_1(X26) ) ) )
      & ( hskp10
        | ! [X43] :
            ( ndr1_0
           => ( ~ c1_1(X43)
              | ~ c0_1(X43)
              | c2_1(X43) ) )
        | ! [X42] :
            ( ndr1_0
           => ( c0_1(X42)
              | c2_1(X42)
              | ~ c3_1(X42) ) ) )
      & ( ! [X24] :
            ( ndr1_0
           => ( c1_1(X24)
              | c3_1(X24)
              | ~ c2_1(X24) ) )
        | ! [X22] :
            ( ndr1_0
           => ( c1_1(X22)
              | c0_1(X22)
              | ~ c3_1(X22) ) )
        | ! [X23] :
            ( ndr1_0
           => ( c1_1(X23)
              | c2_1(X23)
              | c3_1(X23) ) ) )
      & ( ~ hskp28
        | ( ndr1_0
          & c1_1(a1456)
          & c0_1(a1456)
          & c3_1(a1456) ) )
      & ( ! [X102] :
            ( ndr1_0
           => ( c3_1(X102)
              | c2_1(X102)
              | ~ c0_1(X102) ) )
        | ! [X103] :
            ( ndr1_0
           => ( ~ c2_1(X103)
              | ~ c0_1(X103)
              | ~ c3_1(X103) ) )
        | hskp11 )
      & ( ! [X15] :
            ( ndr1_0
           => ( ~ c3_1(X15)
              | ~ c0_1(X15)
              | c2_1(X15) ) )
        | ! [X13] :
            ( ndr1_0
           => ( ~ c2_1(X13)
              | c1_1(X13)
              | c0_1(X13) ) )
        | ! [X14] :
            ( ndr1_0
           => ( c0_1(X14)
              | ~ c3_1(X14)
              | ~ c1_1(X14) ) ) )
      & ( ~ hskp10
        | ( ~ c3_1(a1445)
          & c0_1(a1445)
          & ndr1_0
          & ~ c1_1(a1445) ) )
      & ( ~ hskp25
        | ( ndr1_0
          & c1_1(a1504)
          & c2_1(a1504)
          & ~ c0_1(a1504) ) )
      & ( hskp3
        | hskp22
        | hskp17 )
      & ( hskp17
        | hskp5
        | ! [X112] :
            ( ndr1_0
           => ( ~ c3_1(X112)
              | ~ c0_1(X112)
              | c2_1(X112) ) ) )
      & ( hskp16
        | ! [X57] :
            ( ndr1_0
           => ( ~ c1_1(X57)
              | ~ c2_1(X57)
              | c0_1(X57) ) )
        | hskp7 )
      & ( ( c3_1(a1441)
          & c0_1(a1441)
          & ~ c1_1(a1441)
          & ndr1_0 )
        | ~ hskp8 )
      & ( ! [X80] :
            ( ndr1_0
           => ( ~ c3_1(X80)
              | c1_1(X80)
              | ~ c2_1(X80) ) )
        | ! [X81] :
            ( ndr1_0
           => ( ~ c0_1(X81)
              | ~ c2_1(X81)
              | ~ c1_1(X81) ) )
        | ! [X79] :
            ( ndr1_0
           => ( c1_1(X79)
              | c2_1(X79)
              | ~ c3_1(X79) ) ) )
      & ( ~ hskp20
        | ( ndr1_0
          & c3_1(a1465)
          & ~ c1_1(a1465)
          & c2_1(a1465) ) )
      & ( hskp27
        | hskp15
        | hskp13 )
      & ( ! [X31] :
            ( ndr1_0
           => ( c1_1(X31)
              | c3_1(X31)
              | c2_1(X31) ) )
        | ! [X30] :
            ( ndr1_0
           => ( c0_1(X30)
              | c2_1(X30)
              | c3_1(X30) ) )
        | hskp2 )
      & ( hskp25
        | hskp17
        | hskp2 )
      & ( hskp24
        | hskp30 )
      & ( hskp12
        | ! [X67] :
            ( ndr1_0
           => ( ~ c2_1(X67)
              | c0_1(X67)
              | ~ c3_1(X67) ) )
        | ! [X68] :
            ( ndr1_0
           => ( ~ c1_1(X68)
              | c2_1(X68)
              | ~ c3_1(X68) ) ) )
      & ( ( c2_1(a1451)
          & ndr1_0
          & ~ c1_1(a1451)
          & ~ c3_1(a1451) )
        | ~ hskp14 )
      & ( ! [X96] :
            ( ndr1_0
           => ( ~ c1_1(X96)
              | ~ c0_1(X96)
              | c3_1(X96) ) )
        | ! [X95] :
            ( ndr1_0
           => ( ~ c3_1(X95)
              | c1_1(X95)
              | ~ c2_1(X95) ) )
        | hskp8 )
      & ( hskp7
        | ! [X107] :
            ( ndr1_0
           => ( c2_1(X107)
              | c3_1(X107)
              | ~ c1_1(X107) ) )
        | ! [X108] :
            ( ndr1_0
           => ( ~ c3_1(X108)
              | c2_1(X108)
              | ~ c1_1(X108) ) ) )
      & ( hskp14
        | ! [X49] :
            ( ndr1_0
           => ( c0_1(X49)
              | ~ c2_1(X49)
              | ~ c1_1(X49) ) )
        | ! [X48] :
            ( ndr1_0
           => ( c3_1(X48)
              | ~ c2_1(X48)
              | c0_1(X48) ) ) )
      & ( ( ndr1_0
          & ~ c2_1(a1448)
          & c3_1(a1448)
          & c0_1(a1448) )
        | ~ hskp12 )
      & ( ! [X77] :
            ( ndr1_0
           => ( c1_1(X77)
              | c2_1(X77)
              | ~ c0_1(X77) ) )
        | hskp19
        | ! [X78] :
            ( ndr1_0
           => ( ~ c2_1(X78)
              | c3_1(X78)
              | ~ c0_1(X78) ) ) )
      & ( ( ~ c1_1(a1466)
          & c0_1(a1466)
          & c2_1(a1466)
          & ndr1_0 )
        | ~ hskp21 )
      & ( hskp4
        | ! [X21] :
            ( ndr1_0
           => ( ~ c2_1(X21)
              | c1_1(X21)
              | c0_1(X21) ) )
        | hskp0 )
      & ( ! [X101] :
            ( ndr1_0
           => ( ~ c1_1(X101)
              | c2_1(X101)
              | c3_1(X101) ) )
        | hskp18
        | ! [X100] :
            ( ndr1_0
           => ( c2_1(X100)
              | ~ c0_1(X100)
              | c3_1(X100) ) ) )
      & ( hskp15
        | ! [X51] :
            ( ndr1_0
           => ( c0_1(X51)
              | ~ c2_1(X51)
              | ~ c3_1(X51) ) )
        | ! [X50] :
            ( ndr1_0
           => ( c0_1(X50)
              | ~ c2_1(X50)
              | ~ c1_1(X50) ) ) )
      & ( ~ hskp24
        | ( c3_1(a1487)
          & ~ c1_1(a1487)
          & ~ c2_1(a1487)
          & ndr1_0 ) )
      & ( ~ hskp17
        | ( ~ c2_1(a1457)
          & ~ c1_1(a1457)
          & ndr1_0
          & ~ c0_1(a1457) ) )
      & ( hskp29
        | hskp26
        | hskp0 )
      & ( ~ hskp27
        | ( c0_1(a1428)
          & c2_1(a1428)
          & c3_1(a1428)
          & ndr1_0 ) )
      & ( hskp14
        | hskp19
        | hskp8 )
      & ( ! [X93] :
            ( ndr1_0
           => ( ~ c0_1(X93)
              | ~ c3_1(X93)
              | c1_1(X93) ) )
        | hskp28
        | ! [X94] :
            ( ndr1_0
           => ( ~ c1_1(X94)
              | ~ c3_1(X94)
              | ~ c2_1(X94) ) ) )
      & ( ! [X36] :
            ( ndr1_0
           => ( ~ c1_1(X36)
              | ~ c3_1(X36)
              | ~ c0_1(X36) ) )
        | hskp0
        | ! [X35] :
            ( ndr1_0
           => ( c2_1(X35)
              | ~ c1_1(X35)
              | c0_1(X35) ) ) )
      & ( hskp8
        | hskp7
        | ! [X34] :
            ( ndr1_0
           => ( c2_1(X34)
              | c0_1(X34)
              | c3_1(X34) ) ) )
      & ( ~ hskp26
        | ( ~ c0_1(a1517)
          & ndr1_0
          & c2_1(a1517)
          & ~ c3_1(a1517) ) )
      & ( ~ hskp23
        | ( c2_1(a1477)
          & ndr1_0
          & ~ c0_1(a1477)
          & ~ c1_1(a1477) ) )
      & ( hskp13
        | ! [X91] :
            ( ndr1_0
           => ( ~ c0_1(X91)
              | ~ c1_1(X91)
              | ~ c3_1(X91) ) )
        | ! [X90] :
            ( ndr1_0
           => ( c1_1(X90)
              | c3_1(X90)
              | ~ c2_1(X90) ) ) )
      & ( ( ~ c0_1(a1454)
          & ndr1_0
          & c1_1(a1454)
          & ~ c3_1(a1454) )
        | ~ hskp16 )
      & ( hskp22
        | ! [X82] :
            ( ndr1_0
           => ( c1_1(X82)
              | c2_1(X82)
              | ~ c3_1(X82) ) )
        | ! [X83] :
            ( ndr1_0
           => ( ~ c0_1(X83)
              | ~ c3_1(X83)
              | c2_1(X83) ) ) )
      & ( hskp6
        | hskp7
        | ! [X29] :
            ( ndr1_0
           => ( c0_1(X29)
              | ~ c3_1(X29)
              | c1_1(X29) ) ) )
      & ( ~ hskp30
        | ( c0_1(a1507)
          & c2_1(a1507)
          & c1_1(a1507)
          & ndr1_0 ) )
      & ( hskp13
        | hskp1
        | ! [X47] :
            ( ndr1_0
           => ( c3_1(X47)
              | ~ c1_1(X47)
              | c0_1(X47) ) ) )
      & ( ! [X97] :
            ( ndr1_0
           => ( ~ c3_1(X97)
              | ~ c2_1(X97)
              | c1_1(X97) ) )
        | ! [X98] :
            ( ndr1_0
           => ( ~ c0_1(X98)
              | c3_1(X98)
              | ~ c2_1(X98) ) )
        | hskp23 )
      & ( hskp9
        | hskp21
        | ! [X118] :
            ( ndr1_0
           => ( ~ c2_1(X118)
              | ~ c0_1(X118)
              | ~ c1_1(X118) ) ) )
      & ( ! [X70] :
            ( ndr1_0
           => ( c1_1(X70)
              | ~ c0_1(X70)
              | ~ c2_1(X70) ) )
        | hskp17
        | ! [X69] :
            ( ndr1_0
           => ( c3_1(X69)
              | c2_1(X69)
              | c1_1(X69) ) ) )
      & ( hskp3
        | hskp19
        | ! [X64] :
            ( ndr1_0
           => ( c0_1(X64)
              | ~ c3_1(X64)
              | ~ c1_1(X64) ) ) )
      & ( ~ hskp18
        | ( ndr1_0
          & c0_1(a1458)
          & ~ c2_1(a1458)
          & c1_1(a1458) ) )
      & ( ! [X39] :
            ( ndr1_0
           => ( c0_1(X39)
              | ~ c3_1(X39)
              | c2_1(X39) ) )
        | ! [X40] :
            ( ndr1_0
           => ( ~ c0_1(X40)
              | c1_1(X40)
              | c2_1(X40) ) )
        | ! [X41] :
            ( ndr1_0
           => ( c3_1(X41)
              | c1_1(X41)
              | ~ c2_1(X41) ) ) )
      & ( hskp3
        | ! [X113] :
            ( ndr1_0
           => ( ~ c0_1(X113)
              | c3_1(X113)
              | ~ c1_1(X113) ) )
        | hskp22 )
      & ( ! [X28] :
            ( ndr1_0
           => ( c2_1(X28)
              | ~ c0_1(X28)
              | ~ c3_1(X28) ) )
        | ! [X27] :
            ( ndr1_0
           => ( c0_1(X27)
              | c1_1(X27)
              | ~ c3_1(X27) ) )
        | hskp5 )
      & ( ~ hskp6
        | ( c0_1(a1437)
          & ~ c2_1(a1437)
          & ~ c1_1(a1437)
          & ndr1_0 ) )
      & ( ! [X7] :
            ( ndr1_0
           => ( c0_1(X7)
              | c2_1(X7)
              | c1_1(X7) ) )
        | hskp1
        | hskp2 )
      & ( hskp28
        | ! [X59] :
            ( ndr1_0
           => ( c2_1(X59)
              | ~ c0_1(X59)
              | ~ c1_1(X59) ) )
        | ! [X58] :
            ( ndr1_0
           => ( c0_1(X58)
              | ~ c3_1(X58)
              | ~ c1_1(X58) ) ) )
      & ( hskp4
        | hskp24
        | ! [X106] :
            ( ndr1_0
           => ( c3_1(X106)
              | ~ c0_1(X106)
              | c2_1(X106) ) ) )
      & ( ! [X109] :
            ( ndr1_0
           => ( c2_1(X109)
              | c3_1(X109)
              | ~ c1_1(X109) ) )
        | hskp12
        | ! [X110] :
            ( ndr1_0
           => ( ~ c1_1(X110)
              | c3_1(X110)
              | ~ c2_1(X110) ) ) )
      & ( hskp29
        | hskp5
        | ! [X104] :
            ( ndr1_0
           => ( ~ c0_1(X104)
              | c3_1(X104)
              | c2_1(X104) ) ) )
      & ( hskp20
        | hskp11
        | ! [X99] :
            ( ndr1_0
           => ( c1_1(X99)
              | ~ c2_1(X99)
              | ~ c3_1(X99) ) ) )
      & ( hskp0
        | ! [X4] :
            ( ndr1_0
           => ( ~ c0_1(X4)
              | c2_1(X4)
              | c3_1(X4) ) )
        | ! [X3] :
            ( ndr1_0
           => ( c0_1(X3)
              | c2_1(X3)
              | c1_1(X3) ) ) )
      & ( ! [X89] :
            ( ndr1_0
           => ( ~ c3_1(X89)
              | c1_1(X89)
              | c2_1(X89) ) )
        | hskp22
        | hskp9 )
      & ( ~ hskp19
        | ( ~ c3_1(a1460)
          & ndr1_0
          & ~ c1_1(a1460)
          & ~ c0_1(a1460) ) )
      & ( ( ~ c0_1(a1438)
          & c3_1(a1438)
          & ndr1_0
          & ~ c1_1(a1438) )
        | ~ hskp7 )
      & ( hskp30
        | hskp3
        | hskp8 )
      & ( ( c1_1(a1449)
          & ~ c3_1(a1449)
          & ndr1_0
          & c2_1(a1449) )
        | ~ hskp13 )
      & ( ! [X60] :
            ( ndr1_0
           => ( c0_1(X60)
              | ~ c1_1(X60)
              | ~ c3_1(X60) ) )
        | hskp17
        | ! [X61] :
            ( ndr1_0
           => ( ~ c3_1(X61)
              | ~ c0_1(X61)
              | c2_1(X61) ) ) )
      & ( ! [X17] :
            ( ndr1_0
           => ( ~ c1_1(X17)
              | c0_1(X17)
              | ~ c3_1(X17) ) )
        | ! [X16] :
            ( ndr1_0
           => ( ~ c2_1(X16)
              | c0_1(X16)
              | c1_1(X16) ) )
        | hskp0 )
      & ( ( ~ c2_1(a1444)
          & ~ c1_1(a1444)
          & ndr1_0
          & ~ c3_1(a1444) )
        | ~ hskp9 )
      & ( hskp7
        | ! [X52] :
            ( ndr1_0
           => ( ~ c2_1(X52)
              | ~ c1_1(X52)
              | c0_1(X52) ) )
        | ! [X53] :
            ( ndr1_0
           => ( ~ c0_1(X53)
              | c3_1(X53)
              | c1_1(X53) ) ) )
      & ( ! [X76] :
            ( ndr1_0
           => ( c3_1(X76)
              | ~ c0_1(X76)
              | ~ c2_1(X76) ) )
        | ! [X75] :
            ( ndr1_0
           => ( c1_1(X75)
              | ~ c0_1(X75)
              | c2_1(X75) ) )
        | hskp21 )
      & ( ! [X105] :
            ( ndr1_0
           => ( c2_1(X105)
              | c3_1(X105)
              | ~ c0_1(X105) ) )
        | hskp4
        | hskp7 )
      & ( ~ hskp29
        | ( c3_1(a1483)
          & c1_1(a1483)
          & ndr1_0
          & c2_1(a1483) ) )
      & ( hskp10
        | hskp12
        | hskp1 )
      & ( hskp11
        | hskp0
        | ! [X92] :
            ( ndr1_0
           => ( c1_1(X92)
              | ~ c2_1(X92)
              | ~ c0_1(X92) ) ) )
      & ( ~ hskp0
        | ( c0_1(a1427)
          & ndr1_0
          & c2_1(a1427)
          & ~ c3_1(a1427) ) )
      & ( hskp12
        | ! [X46] :
            ( ndr1_0
           => ( ~ c1_1(X46)
              | c3_1(X46)
              | c2_1(X46) ) )
        | ! [X45] :
            ( ndr1_0
           => ( ~ c1_1(X45)
              | c3_1(X45)
              | c0_1(X45) ) ) )
      & ( ~ hskp3
        | ( ~ c2_1(a1431)
          & ndr1_0
          & ~ c0_1(a1431)
          & c1_1(a1431) ) )
      & ( ! [X44] :
            ( ndr1_0
           => ( ~ c3_1(X44)
              | c2_1(X44)
              | c0_1(X44) ) )
        | hskp11
        | hskp5 )
      & ( ! [X117] :
            ( ndr1_0
           => ( ~ c2_1(X117)
              | ~ c0_1(X117)
              | ~ c1_1(X117) ) )
        | ! [X116] :
            ( ndr1_0
           => ( ~ c2_1(X116)
              | c3_1(X116)
              | ~ c1_1(X116) ) )
        | hskp23 )
      & ( hskp3
        | hskp0
        | ! [X111] :
            ( ndr1_0
           => ( ~ c1_1(X111)
              | c3_1(X111)
              | c2_1(X111) ) ) )
      & ( ( ~ c0_1(a1468)
          & c1_1(a1468)
          & ndr1_0
          & c3_1(a1468) )
        | ~ hskp22 )
      & ( ( ~ c3_1(a1447)
          & ~ c2_1(a1447)
          & ndr1_0
          & ~ c0_1(a1447) )
        | ~ hskp11 )
      & ( ! [X11] :
            ( ndr1_0
           => ( c2_1(X11)
              | c3_1(X11)
              | c1_1(X11) ) )
        | ! [X12] :
            ( ndr1_0
           => ( c2_1(X12)
              | ~ c1_1(X12)
              | c3_1(X12) ) )
        | ! [X10] :
            ( ndr1_0
           => ( c0_1(X10)
              | c3_1(X10)
              | c1_1(X10) ) ) )
      & ( ! [X74] :
            ( ndr1_0
           => ( ~ c0_1(X74)
              | ~ c1_1(X74)
              | c3_1(X74) ) )
        | hskp20
        | ! [X73] :
            ( ndr1_0
           => ( ~ c0_1(X73)
              | c2_1(X73)
              | c1_1(X73) ) ) )
      & ( ! [X62] :
            ( ndr1_0
           => ( c0_1(X62)
              | ~ c1_1(X62)
              | ~ c3_1(X62) ) )
        | ! [X63] :
            ( ndr1_0
           => ( ~ c3_1(X63)
              | ~ c0_1(X63)
              | ~ c2_1(X63) ) )
        | hskp18 )
      & ( ~ hskp4
        | ( ndr1_0
          & ~ c2_1(a1434)
          & c3_1(a1434)
          & c1_1(a1434) ) )
      & ( ! [X38] :
            ( ndr1_0
           => ( ~ c2_1(X38)
              | c3_1(X38)
              | c0_1(X38) ) )
        | hskp9
        | ! [X37] :
            ( ndr1_0
           => ( c0_1(X37)
              | c2_1(X37)
              | ~ c3_1(X37) ) ) )
      & ( ! [X1] :
            ( ndr1_0
           => ( c3_1(X1)
              | c1_1(X1)
              | ~ c0_1(X1) ) )
        | ! [X0] :
            ( ndr1_0
           => ( c0_1(X0)
              | c2_1(X0)
              | c1_1(X0) ) )
        | ! [X2] :
            ( ndr1_0
           => ( ~ c2_1(X2)
              | ~ c0_1(X2)
              | c1_1(X2) ) ) )
      & ( ( ~ c2_1(a1430)
          & ndr1_0
          & ~ c0_1(a1430)
          & c3_1(a1430) )
        | ~ hskp2 )
      & ( ! [X8] :
            ( ndr1_0
           => ( c0_1(X8)
              | c3_1(X8)
              | c1_1(X8) ) )
        | ! [X9] :
            ( ndr1_0
           => ( c0_1(X9)
              | ~ c1_1(X9)
              | ~ c3_1(X9) ) )
        | hskp3 )
      & ( ~ hskp15
        | ( c0_1(a1452)
          & ~ c3_1(a1452)
          & ndr1_0
          & c1_1(a1452) ) )
      & ( ! [X119] :
            ( ndr1_0
           => ( ~ c3_1(X119)
              | ~ c0_1(X119)
              | ~ c1_1(X119) ) )
        | hskp25
        | hskp0 )
      & ( ! [X6] :
            ( ndr1_0
           => ( ~ c1_1(X6)
              | ~ c2_1(X6)
              | ~ c3_1(X6) ) )
        | ! [X5] :
            ( ndr1_0
           => ( c2_1(X5)
              | c0_1(X5)
              | c1_1(X5) ) )
        | hskp27 )
      & ( hskp11
        | ! [X115] :
            ( ndr1_0
           => ( ~ c0_1(X115)
              | ~ c2_1(X115)
              | c3_1(X115) ) )
        | hskp12 )
      & ( ! [X86] :
            ( ndr1_0
           => ( ~ c3_1(X86)
              | ~ c2_1(X86)
              | ~ c0_1(X86) ) )
        | ! [X84] :
            ( ndr1_0
           => ( ~ c3_1(X84)
              | c1_1(X84)
              | c2_1(X84) ) )
        | ! [X85] :
            ( ndr1_0
           => ( c3_1(X85)
              | ~ c1_1(X85)
              | ~ c0_1(X85) ) ) )
      & ( ! [X33] :
            ( ndr1_0
           => ( c2_1(X33)
              | ~ c3_1(X33)
              | ~ c1_1(X33) ) )
        | ! [X32] :
            ( ndr1_0
           => ( c2_1(X32)
              | c3_1(X32)
              | c0_1(X32) ) )
        | hskp0 )
      & ( ~ hskp5
        | ( ~ c3_1(a1435)
          & c0_1(a1435)
          & ndr1_0
          & ~ c2_1(a1435) ) )
      & ( ! [X55] :
            ( ndr1_0
           => ( c1_1(X55)
              | c3_1(X55)
              | ~ c2_1(X55) ) )
        | ! [X54] :
            ( ndr1_0
           => ( c0_1(X54)
              | ~ c1_1(X54)
              | ~ c2_1(X54) ) )
        | ! [X56] :
            ( ndr1_0
           => ( c3_1(X56)
              | ~ c0_1(X56)
              | c2_1(X56) ) ) )
      & ( hskp16
        | hskp14
        | ! [X114] :
            ( ndr1_0
           => ( ~ c0_1(X114)
              | c3_1(X114)
              | ~ c1_1(X114) ) ) )
      & ( ! [X65] :
            ( ndr1_0
           => ( ~ c3_1(X65)
              | ~ c2_1(X65)
              | c0_1(X65) ) )
        | hskp12
        | ! [X66] :
            ( ndr1_0
           => ( c3_1(X66)
              | ~ c0_1(X66)
              | c1_1(X66) ) ) )
      & ( hskp25
        | hskp13
        | ! [X120] :
            ( ndr1_0
           => ( ~ c2_1(X120)
              | ~ c1_1(X120)
              | ~ c3_1(X120) ) ) )
      & ( ! [X88] :
            ( ndr1_0
           => ( ~ c0_1(X88)
              | ~ c1_1(X88)
              | ~ c3_1(X88) ) )
        | ! [X87] :
            ( ndr1_0
           => ( c1_1(X87)
              | ~ c3_1(X87)
              | c2_1(X87) ) )
        | hskp6 ) ),
    file('/export/starexec/sandbox/benchmark/theBenchmark.p',co1) ).

fof(f1045,plain,
    ( spl0_62
    | spl0_34
    | spl0_43
    | ~ spl0_3 ),
    inference(avatar_split_clause,[],[f139,f263,f427,f391,f516]) ).

fof(f516,plain,
    ( spl0_62
  <=> hskp2 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_62])]) ).

fof(f427,plain,
    ( spl0_43
  <=> hskp1 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_43])]) ).

fof(f139,plain,
    ! [X66] :
      ( ~ ndr1_0
      | hskp1
      | c0_1(X66)
      | c1_1(X66)
      | hskp2
      | c2_1(X66) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f1044,plain,
    ( ~ spl0_62
    | spl0_157 ),
    inference(avatar_split_clause,[],[f96,f1041,f516]) ).

fof(f96,plain,
    ( c3_1(a1430)
    | ~ hskp2 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f1039,plain,
    ( spl0_156
    | ~ spl0_73 ),
    inference(avatar_split_clause,[],[f11,f571,f1036]) ).

fof(f571,plain,
    ( spl0_73
  <=> hskp13 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_73])]) ).

fof(f11,plain,
    ( ~ hskp13
    | c1_1(a1449) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f1034,plain,
    ( spl0_155
    | ~ spl0_24 ),
    inference(avatar_split_clause,[],[f58,f346,f1031]) ).

fof(f346,plain,
    ( spl0_24
  <=> hskp0 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_24])]) ).

fof(f58,plain,
    ( ~ hskp0
    | c2_1(a1427) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f1029,plain,
    ( ~ spl0_49
    | spl0_154 ),
    inference(avatar_split_clause,[],[f78,f1026,f455]) ).

fof(f455,plain,
    ( spl0_49
  <=> hskp28 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_49])]) ).

fof(f78,plain,
    ( c3_1(a1456)
    | ~ hskp28 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f1024,plain,
    ( spl0_3
    | ~ spl0_51 ),
    inference(avatar_split_clause,[],[f49,f464,f263]) ).

fof(f464,plain,
    ( spl0_51
  <=> hskp22 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_51])]) ).

fof(f49,plain,
    ( ~ hskp22
    | ndr1_0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f1023,plain,
    ( ~ spl0_27
    | spl0_153 ),
    inference(avatar_split_clause,[],[f17,f1020,f360]) ).

fof(f360,plain,
    ( spl0_27
  <=> hskp12 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_27])]) ).

fof(f17,plain,
    ( c0_1(a1448)
    | ~ hskp12 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f1018,plain,
    ( spl0_43
    | spl0_71
    | spl0_27 ),
    inference(avatar_split_clause,[],[f138,f360,f562,f427]) ).

fof(f562,plain,
    ( spl0_71
  <=> hskp10 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_71])]) ).

fof(f138,plain,
    ( hskp12
    | hskp10
    | hskp1 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f1014,plain,
    ( ~ spl0_43
    | spl0_152 ),
    inference(avatar_split_clause,[],[f53,f1011,f427]) ).

fof(f53,plain,
    ( c2_1(a1429)
    | ~ hskp1 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f1009,plain,
    ( ~ spl0_24
    | ~ spl0_151 ),
    inference(avatar_split_clause,[],[f57,f1006,f346]) ).

fof(f57,plain,
    ( ~ c3_1(a1427)
    | ~ hskp0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f1003,plain,
    ( ~ spl0_150
    | ~ spl0_19 ),
    inference(avatar_split_clause,[],[f73,f328,f1000]) ).

fof(f328,plain,
    ( spl0_19
  <=> hskp19 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_19])]) ).

fof(f73,plain,
    ( ~ hskp19
    | ~ c1_1(a1460) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f998,plain,
    ( ~ spl0_149
    | ~ spl0_73 ),
    inference(avatar_split_clause,[],[f10,f571,f995]) ).

fof(f10,plain,
    ( ~ hskp13
    | ~ c3_1(a1449) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f991,plain,
    ( spl0_148
    | ~ spl0_107 ),
    inference(avatar_split_clause,[],[f33,f759,f988]) ).

fof(f33,plain,
    ( ~ hskp20
    | c3_1(a1465) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f986,plain,
    ( ~ spl0_59
    | ~ spl0_147 ),
    inference(avatar_split_clause,[],[f42,f983,f503]) ).

fof(f503,plain,
    ( spl0_59
  <=> hskp3 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_59])]) ).

fof(f42,plain,
    ( ~ c2_1(a1431)
    | ~ hskp3 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f981,plain,
    ( ~ spl0_3
    | spl0_14
    | spl0_29
    | spl0_15 ),
    inference(avatar_split_clause,[],[f210,f310,f367,f306,f263]) ).

fof(f310,plain,
    ( spl0_15
  <=> hskp15 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_15])]) ).

fof(f210,plain,
    ! [X31,X32] :
      ( hskp15
      | c0_1(X32)
      | c0_1(X31)
      | ~ c1_1(X31)
      | ~ c2_1(X32)
      | ~ c2_1(X31)
      | ~ c3_1(X32)
      | ~ ndr1_0 ),
    inference(duplicate_literal_removal,[],[f173]) ).

fof(f173,plain,
    ! [X31,X32] :
      ( ~ c3_1(X32)
      | hskp15
      | ~ c1_1(X31)
      | c0_1(X31)
      | ~ ndr1_0
      | ~ c2_1(X31)
      | ~ c2_1(X32)
      | ~ ndr1_0
      | c0_1(X32) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f980,plain,
    ( ~ spl0_146
    | ~ spl0_23 ),
    inference(avatar_split_clause,[],[f118,f342,f977]) ).

fof(f342,plain,
    ( spl0_23
  <=> hskp11 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_23])]) ).

fof(f118,plain,
    ( ~ hskp11
    | ~ c2_1(a1447) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f973,plain,
    ( ~ spl0_145
    | ~ spl0_12 ),
    inference(avatar_split_clause,[],[f156,f299,f970]) ).

fof(f299,plain,
    ( spl0_12
  <=> hskp7 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_12])]) ).

fof(f156,plain,
    ( ~ hskp7
    | ~ c0_1(a1438) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f968,plain,
    ( ~ spl0_10
    | spl0_144 ),
    inference(avatar_split_clause,[],[f46,f965,f290]) ).

fof(f290,plain,
    ( spl0_10
  <=> hskp25 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_10])]) ).

fof(f46,plain,
    ( c1_1(a1504)
    | ~ hskp25 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f961,plain,
    ( ~ spl0_6
    | spl0_143 ),
    inference(avatar_split_clause,[],[f179,f958,f274]) ).

fof(f274,plain,
    ( spl0_6
  <=> hskp4 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_6])]) ).

fof(f179,plain,
    ( c3_1(a1434)
    | ~ hskp4 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f956,plain,
    ( ~ spl0_103
    | spl0_142 ),
    inference(avatar_split_clause,[],[f191,f953,f724]) ).

fof(f724,plain,
    ( spl0_103
  <=> hskp18 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_103])]) ).

fof(f191,plain,
    ( c1_1(a1458)
    | ~ hskp18 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f950,plain,
    ( ~ spl0_62
    | ~ spl0_141 ),
    inference(avatar_split_clause,[],[f97,f947,f516]) ).

fof(f97,plain,
    ( ~ c0_1(a1430)
    | ~ hskp2 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f945,plain,
    ( ~ spl0_9
    | ~ spl0_140 ),
    inference(avatar_split_clause,[],[f93,f942,f285]) ).

fof(f285,plain,
    ( spl0_9
  <=> hskp5 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_9])]) ).

fof(f93,plain,
    ( ~ c3_1(a1435)
    | ~ hskp5 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f940,plain,
    ( spl0_78
    | ~ spl0_3
    | spl0_8
    | spl0_52 ),
    inference(avatar_split_clause,[],[f214,f472,f282,f263,f595]) ).

fof(f595,plain,
    ( spl0_78
  <=> hskp17 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_78])]) ).

fof(f214,plain,
    ! [X46,X45] :
      ( ~ c1_1(X45)
      | ~ c3_1(X45)
      | ~ c3_1(X46)
      | c0_1(X45)
      | c2_1(X46)
      | ~ ndr1_0
      | ~ c0_1(X46)
      | hskp17 ),
    inference(duplicate_literal_removal,[],[f162]) ).

fof(f162,plain,
    ! [X46,X45] :
      ( ~ c1_1(X45)
      | ~ ndr1_0
      | hskp17
      | ~ c3_1(X46)
      | c2_1(X46)
      | ~ ndr1_0
      | ~ c3_1(X45)
      | c0_1(X45)
      | ~ c0_1(X46) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f939,plain,
    ( ~ spl0_139
    | ~ spl0_78 ),
    inference(avatar_split_clause,[],[f70,f595,f936]) ).

fof(f70,plain,
    ( ~ hskp17
    | ~ c2_1(a1457) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f930,plain,
    ( spl0_90
    | spl0_51
    | spl0_85
    | ~ spl0_3 ),
    inference(avatar_split_clause,[],[f77,f263,f629,f464,f654]) ).

fof(f654,plain,
    ( spl0_90
  <=> hskp9 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_90])]) ).

fof(f77,plain,
    ! [X97] :
      ( ~ ndr1_0
      | ~ c3_1(X97)
      | hskp22
      | hskp9
      | c2_1(X97)
      | c1_1(X97) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f929,plain,
    ( ~ spl0_3
    | spl0_34
    | spl0_13
    | spl0_22 ),
    inference(avatar_split_clause,[],[f215,f339,f303,f391,f263]) ).

fof(f215,plain,
    ! [X90,X88,X89] :
      ( c1_1(X90)
      | c3_1(X89)
      | c1_1(X89)
      | c1_1(X88)
      | ~ ndr1_0
      | ~ c0_1(X89)
      | c2_1(X88)
      | ~ c0_1(X90)
      | c0_1(X88)
      | ~ c2_1(X90) ),
    inference(duplicate_literal_removal,[],[f105]) ).

fof(f105,plain,
    ! [X90,X88,X89] :
      ( ~ ndr1_0
      | c1_1(X89)
      | c3_1(X89)
      | c1_1(X90)
      | ~ ndr1_0
      | ~ ndr1_0
      | c1_1(X88)
      | ~ c0_1(X90)
      | c0_1(X88)
      | ~ c2_1(X90)
      | ~ c0_1(X89)
      | c2_1(X88) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f922,plain,
    ( ~ spl0_46
    | ~ spl0_136 ),
    inference(avatar_split_clause,[],[f127,f919,f441]) ).

fof(f441,plain,
    ( spl0_46
  <=> hskp8 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_46])]) ).

fof(f127,plain,
    ( ~ c1_1(a1441)
    | ~ hskp8 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f916,plain,
    ( ~ spl0_15
    | ~ spl0_135 ),
    inference(avatar_split_clause,[],[f147,f913,f310]) ).

fof(f147,plain,
    ( ~ c3_1(a1452)
    | ~ hskp15 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f911,plain,
    ( spl0_134
    | ~ spl0_75 ),
    inference(avatar_split_clause,[],[f133,f580,f908]) ).

fof(f580,plain,
    ( spl0_75
  <=> hskp27 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_75])]) ).

fof(f133,plain,
    ( ~ hskp27
    | c0_1(a1428) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f906,plain,
    ( spl0_133
    | ~ spl0_69 ),
    inference(avatar_split_clause,[],[f125,f552,f903]) ).

fof(f552,plain,
    ( spl0_69
  <=> hskp23 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_69])]) ).

fof(f125,plain,
    ( ~ hskp23
    | c2_1(a1477) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f900,plain,
    ( spl0_132
    | ~ spl0_43 ),
    inference(avatar_split_clause,[],[f55,f427,f897]) ).

fof(f55,plain,
    ( ~ hskp1
    | c3_1(a1429) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f886,plain,
    ( spl0_88
    | spl0_5
    | ~ spl0_3
    | spl0_14 ),
    inference(avatar_split_clause,[],[f218,f306,f263,f271,f646]) ).

fof(f218,plain,
    ! [X56,X57,X55] :
      ( c0_1(X57)
      | ~ ndr1_0
      | c3_1(X56)
      | ~ c2_1(X57)
      | c1_1(X55)
      | ~ c1_1(X57)
      | ~ c0_1(X56)
      | c2_1(X56)
      | ~ c2_1(X55)
      | c3_1(X55) ),
    inference(duplicate_literal_removal,[],[f151]) ).

fof(f151,plain,
    ! [X56,X57,X55] :
      ( ~ ndr1_0
      | ~ ndr1_0
      | ~ c2_1(X57)
      | c3_1(X56)
      | c2_1(X56)
      | c0_1(X57)
      | ~ ndr1_0
      | c1_1(X55)
      | ~ c0_1(X56)
      | ~ c2_1(X55)
      | c3_1(X55)
      | ~ c1_1(X57) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f885,plain,
    ( ~ spl0_18
    | ~ spl0_129 ),
    inference(avatar_split_clause,[],[f172,f882,f323]) ).

fof(f323,plain,
    ( spl0_18
  <=> hskp16 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_18])]) ).

fof(f172,plain,
    ( ~ c0_1(a1454)
    | ~ hskp16 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f880,plain,
    ( spl0_128
    | ~ spl0_58 ),
    inference(avatar_split_clause,[],[f62,f498,f877]) ).

fof(f498,plain,
    ( spl0_58
  <=> hskp21 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_58])]) ).

fof(f62,plain,
    ( ~ hskp21
    | c2_1(a1466) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f875,plain,
    ( spl0_15
    | spl0_75
    | spl0_73 ),
    inference(avatar_split_clause,[],[f84,f571,f580,f310]) ).

fof(f84,plain,
    ( hskp13
    | hskp27
    | hskp15 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f874,plain,
    ( ~ spl0_127
    | ~ spl0_90 ),
    inference(avatar_split_clause,[],[f89,f654,f871]) ).

fof(f89,plain,
    ( ~ hskp9
    | ~ c2_1(a1444) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f869,plain,
    ( ~ spl0_107
    | spl0_126 ),
    inference(avatar_split_clause,[],[f31,f866,f759]) ).

fof(f31,plain,
    ( c2_1(a1465)
    | ~ hskp20 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f864,plain,
    ( spl0_125
    | ~ spl0_18 ),
    inference(avatar_split_clause,[],[f170,f323,f861]) ).

fof(f170,plain,
    ( ~ hskp16
    | c1_1(a1454) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f859,plain,
    ( ~ spl0_3
    | spl0_21
    | spl0_20
    | spl0_58 ),
    inference(avatar_split_clause,[],[f219,f498,f332,f335,f263]) ).

fof(f219,plain,
    ! [X21,X22] :
      ( hskp21
      | c1_1(X22)
      | ~ c2_1(X21)
      | ~ ndr1_0
      | c2_1(X22)
      | ~ c0_1(X22)
      | ~ c0_1(X21)
      | c3_1(X21) ),
    inference(duplicate_literal_removal,[],[f187]) ).

fof(f187,plain,
    ! [X21,X22] :
      ( hskp21
      | c3_1(X21)
      | ~ ndr1_0
      | ~ c0_1(X22)
      | c1_1(X22)
      | c2_1(X22)
      | ~ c0_1(X21)
      | ~ ndr1_0
      | ~ c2_1(X21) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f852,plain,
    ( ~ spl0_9
    | spl0_123 ),
    inference(avatar_split_clause,[],[f92,f849,f285]) ).

fof(f92,plain,
    ( c0_1(a1435)
    | ~ hskp5 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f847,plain,
    ( spl0_46
    | spl0_19
    | spl0_33 ),
    inference(avatar_split_clause,[],[f143,f386,f328,f441]) ).

fof(f386,plain,
    ( spl0_33
  <=> hskp14 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_33])]) ).

fof(f143,plain,
    ( hskp14
    | hskp19
    | hskp8 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f845,plain,
    ( ~ spl0_122
    | ~ spl0_9 ),
    inference(avatar_split_clause,[],[f90,f285,f842]) ).

fof(f90,plain,
    ( ~ hskp5
    | ~ c2_1(a1435) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f836,plain,
    ( spl0_33
    | spl0_27
    | spl0_46 ),
    inference(avatar_split_clause,[],[f158,f441,f360,f386]) ).

fof(f158,plain,
    ( hskp8
    | hskp12
    | hskp14 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f835,plain,
    ( spl0_37
    | ~ spl0_3
    | spl0_12
    | spl0_28 ),
    inference(avatar_split_clause,[],[f224,f364,f299,f263,f403]) ).

fof(f224,plain,
    ! [X86,X87] :
      ( ~ c1_1(X87)
      | ~ c3_1(X87)
      | hskp7
      | ~ ndr1_0
      | c2_1(X87)
      | c3_1(X86)
      | c2_1(X86)
      | ~ c1_1(X86) ),
    inference(duplicate_literal_removal,[],[f106]) ).

fof(f106,plain,
    ! [X86,X87] :
      ( ~ ndr1_0
      | c3_1(X86)
      | ~ c1_1(X87)
      | hskp7
      | c2_1(X86)
      | ~ ndr1_0
      | ~ c3_1(X87)
      | c2_1(X87)
      | ~ c1_1(X86) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f834,plain,
    ( ~ spl0_51
    | spl0_120 ),
    inference(avatar_split_clause,[],[f48,f831,f464]) ).

fof(f48,plain,
    ( c3_1(a1468)
    | ~ hskp22 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f829,plain,
    ( ~ spl0_15
    | spl0_119 ),
    inference(avatar_split_clause,[],[f145,f826,f310]) ).

fof(f145,plain,
    ( c1_1(a1452)
    | ~ hskp15 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f824,plain,
    ( ~ spl0_118
    | ~ spl0_90 ),
    inference(avatar_split_clause,[],[f88,f654,f821]) ).

fof(f88,plain,
    ( ~ hskp9
    | ~ c1_1(a1444) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f819,plain,
    ( spl0_117
    | ~ spl0_46 ),
    inference(avatar_split_clause,[],[f128,f441,f816]) ).

fof(f128,plain,
    ( ~ hskp8
    | c0_1(a1441) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f808,plain,
    ( ~ spl0_12
    | ~ spl0_115 ),
    inference(avatar_split_clause,[],[f153,f805,f299]) ).

fof(f153,plain,
    ( ~ c1_1(a1438)
    | ~ hskp7 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f803,plain,
    ( spl0_51
    | spl0_59
    | spl0_78 ),
    inference(avatar_split_clause,[],[f175,f595,f503,f464]) ).

fof(f175,plain,
    ( hskp17
    | hskp3
    | hskp22 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f802,plain,
    ( spl0_59
    | ~ spl0_3
    | spl0_19
    | spl0_52 ),
    inference(avatar_split_clause,[],[f135,f472,f328,f263,f503]) ).

fof(f135,plain,
    ! [X72] :
      ( ~ c3_1(X72)
      | hskp19
      | ~ ndr1_0
      | c0_1(X72)
      | ~ c1_1(X72)
      | hskp3 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f801,plain,
    ( spl0_114
    | ~ spl0_46 ),
    inference(avatar_split_clause,[],[f129,f441,f798]) ).

fof(f129,plain,
    ( ~ hskp8
    | c3_1(a1441) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f796,plain,
    ( ~ spl0_58
    | spl0_113 ),
    inference(avatar_split_clause,[],[f63,f793,f498]) ).

fof(f63,plain,
    ( c0_1(a1466)
    | ~ hskp21 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f785,plain,
    ( spl0_46
    | ~ spl0_3
    | spl0_41
    | spl0_61 ),
    inference(avatar_split_clause,[],[f225,f511,f420,f263,f441]) ).

fof(f225,plain,
    ! [X62,X63] :
      ( ~ c1_1(X63)
      | c3_1(X63)
      | ~ c2_1(X62)
      | ~ ndr1_0
      | hskp8
      | ~ c0_1(X63)
      | ~ c3_1(X62)
      | c1_1(X62) ),
    inference(duplicate_literal_removal,[],[f142]) ).

fof(f142,plain,
    ! [X62,X63] :
      ( ~ c2_1(X62)
      | ~ c1_1(X63)
      | ~ ndr1_0
      | c3_1(X63)
      | ~ c0_1(X63)
      | ~ c3_1(X62)
      | c1_1(X62)
      | ~ ndr1_0
      | hskp8 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f784,plain,
    ( spl0_111
    | ~ spl0_49 ),
    inference(avatar_split_clause,[],[f79,f455,f781]) ).

fof(f79,plain,
    ( ~ hskp28
    | c0_1(a1456) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f779,plain,
    ( ~ spl0_69
    | ~ spl0_110 ),
    inference(avatar_split_clause,[],[f123,f776,f552]) ).

fof(f123,plain,
    ( ~ c0_1(a1477)
    | ~ hskp23 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f774,plain,
    ( ~ spl0_103
    | ~ spl0_109 ),
    inference(avatar_split_clause,[],[f192,f771,f724]) ).

fof(f192,plain,
    ( ~ c2_1(a1458)
    | ~ hskp18 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f769,plain,
    ( ~ spl0_3
    | spl0_6
    | spl0_42
    | spl0_24 ),
    inference(avatar_split_clause,[],[f207,f346,f423,f274,f263]) ).

fof(f207,plain,
    ! [X0] :
      ( hskp0
      | c0_1(X0)
      | c1_1(X0)
      | hskp4
      | ~ c2_1(X0)
      | ~ ndr1_0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f768,plain,
    ( spl0_40
    | ~ spl0_3
    | spl0_103
    | spl0_52 ),
    inference(avatar_split_clause,[],[f226,f472,f724,f263,f417]) ).

fof(f226,plain,
    ! [X10,X9] :
      ( c0_1(X9)
      | hskp18
      | ~ ndr1_0
      | ~ c1_1(X9)
      | ~ c0_1(X10)
      | ~ c3_1(X10)
      | ~ c3_1(X9)
      | ~ c2_1(X10) ),
    inference(duplicate_literal_removal,[],[f198]) ).

fof(f198,plain,
    ! [X10,X9] :
      ( ~ ndr1_0
      | ~ c3_1(X9)
      | ~ ndr1_0
      | ~ c1_1(X9)
      | c0_1(X9)
      | ~ c3_1(X10)
      | hskp18
      | ~ c2_1(X10)
      | ~ c0_1(X10) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f766,plain,
    ( ~ spl0_107
    | ~ spl0_108 ),
    inference(avatar_split_clause,[],[f32,f763,f759]) ).

fof(f32,plain,
    ( ~ c1_1(a1465)
    | ~ hskp20 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f755,plain,
    ( spl0_106
    | ~ spl0_24 ),
    inference(avatar_split_clause,[],[f60,f346,f752]) ).

fof(f60,plain,
    ( ~ hskp0
    | c0_1(a1427) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f749,plain,
    ( ~ spl0_3
    | spl0_10
    | spl0_89
    | spl0_24 ),
    inference(avatar_split_clause,[],[f160,f346,f649,f290,f263]) ).

fof(f160,plain,
    ! [X49] :
      ( hskp0
      | ~ c3_1(X49)
      | ~ c0_1(X49)
      | hskp25
      | ~ ndr1_0
      | ~ c1_1(X49) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f744,plain,
    ( spl0_62
    | spl0_78
    | spl0_10 ),
    inference(avatar_split_clause,[],[f110,f290,f595,f516]) ).

fof(f110,plain,
    ( hskp25
    | hskp17
    | hskp2 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f743,plain,
    ( ~ spl0_3
    | spl0_42
    | spl0_8
    | spl0_52 ),
    inference(avatar_split_clause,[],[f229,f472,f282,f423,f263]) ).

fof(f229,plain,
    ! [X2,X3,X1] :
      ( ~ c1_1(X3)
      | ~ c3_1(X1)
      | ~ c3_1(X3)
      | c0_1(X3)
      | ~ c2_1(X2)
      | c2_1(X1)
      | c0_1(X2)
      | ~ ndr1_0
      | ~ c0_1(X1)
      | c1_1(X2) ),
    inference(duplicate_literal_removal,[],[f202]) ).

fof(f202,plain,
    ! [X2,X3,X1] :
      ( ~ c1_1(X3)
      | c0_1(X3)
      | ~ c3_1(X3)
      | c0_1(X2)
      | c2_1(X1)
      | ~ ndr1_0
      | ~ c2_1(X2)
      | ~ ndr1_0
      | ~ c0_1(X1)
      | ~ c3_1(X1)
      | c1_1(X2)
      | ~ ndr1_0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f742,plain,
    ( ~ spl0_3
    | spl0_82
    | spl0_88
    | spl0_7 ),
    inference(avatar_split_clause,[],[f230,f279,f646,f615,f263]) ).

fof(f230,plain,
    ! [X108,X109,X107] :
      ( c0_1(X107)
      | c1_1(X107)
      | c1_1(X108)
      | c3_1(X108)
      | c1_1(X109)
      | ~ ndr1_0
      | c2_1(X109)
      | ~ c3_1(X107)
      | c3_1(X109)
      | ~ c2_1(X108) ),
    inference(duplicate_literal_removal,[],[f38]) ).

fof(f38,plain,
    ! [X108,X109,X107] :
      ( ~ ndr1_0
      | ~ c2_1(X108)
      | c3_1(X108)
      | c2_1(X109)
      | c3_1(X109)
      | ~ ndr1_0
      | c1_1(X109)
      | c1_1(X107)
      | ~ ndr1_0
      | c0_1(X107)
      | c1_1(X108)
      | ~ c3_1(X107) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f741,plain,
    ( ~ spl0_19
    | ~ spl0_105 ),
    inference(avatar_split_clause,[],[f72,f738,f328]) ).

fof(f72,plain,
    ( ~ c0_1(a1460)
    | ~ hskp19 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f736,plain,
    ( ~ spl0_3
    | spl0_9
    | spl0_7
    | spl0_88 ),
    inference(avatar_split_clause,[],[f231,f646,f279,f285,f263]) ).

fof(f231,plain,
    ! [X51,X52] :
      ( c1_1(X51)
      | c1_1(X52)
      | c0_1(X52)
      | hskp5
      | ~ c3_1(X52)
      | ~ ndr1_0
      | c3_1(X51)
      | ~ c2_1(X51) ),
    inference(duplicate_literal_removal,[],[f157]) ).

fof(f157,plain,
    ! [X51,X52] :
      ( ~ c2_1(X51)
      | c3_1(X51)
      | hskp5
      | ~ c3_1(X52)
      | c1_1(X51)
      | ~ ndr1_0
      | ~ ndr1_0
      | c1_1(X52)
      | c0_1(X52) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f734,plain,
    ( spl0_59
    | ~ spl0_3
    | spl0_61
    | spl0_51 ),
    inference(avatar_split_clause,[],[f56,f464,f511,f263,f503]) ).

fof(f56,plain,
    ! [X104] :
      ( hskp22
      | ~ c1_1(X104)
      | ~ ndr1_0
      | c3_1(X104)
      | hskp3
      | ~ c0_1(X104) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f727,plain,
    ( spl0_102
    | ~ spl0_103 ),
    inference(avatar_split_clause,[],[f193,f724,f720]) ).

fof(f193,plain,
    ( ~ hskp18
    | c0_1(a1458) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f718,plain,
    ( spl0_37
    | spl0_60
    | spl0_82
    | ~ spl0_3 ),
    inference(avatar_split_clause,[],[f232,f263,f615,f507,f403]) ).

fof(f232,plain,
    ! [X24,X25,X23] :
      ( ~ ndr1_0
      | c1_1(X23)
      | c0_1(X24)
      | c1_1(X24)
      | c3_1(X23)
      | ~ c1_1(X25)
      | c2_1(X23)
      | c3_1(X24)
      | c2_1(X25)
      | c3_1(X25) ),
    inference(duplicate_literal_removal,[],[f182]) ).

fof(f182,plain,
    ! [X24,X25,X23] :
      ( c3_1(X23)
      | c2_1(X23)
      | c0_1(X24)
      | ~ ndr1_0
      | ~ c1_1(X25)
      | c1_1(X23)
      | c3_1(X25)
      | c3_1(X24)
      | ~ ndr1_0
      | ~ ndr1_0
      | c2_1(X25)
      | c1_1(X24) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f717,plain,
    ( ~ spl0_69
    | ~ spl0_101 ),
    inference(avatar_split_clause,[],[f122,f714,f552]) ).

fof(f122,plain,
    ( ~ c1_1(a1477)
    | ~ hskp23 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f707,plain,
    ( ~ spl0_99
    | ~ spl0_90 ),
    inference(avatar_split_clause,[],[f86,f654,f704]) ).

fof(f86,plain,
    ( ~ hskp9
    | ~ c3_1(a1444) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f691,plain,
    ( spl0_9
    | ~ spl0_3
    | spl0_8
    | spl0_78 ),
    inference(avatar_split_clause,[],[f200,f595,f282,f263,f285]) ).

fof(f200,plain,
    ! [X6] :
      ( hskp17
      | ~ c3_1(X6)
      | c2_1(X6)
      | ~ ndr1_0
      | ~ c0_1(X6)
      | hskp5 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f681,plain,
    ( ~ spl0_3
    | spl0_27
    | spl0_29
    | spl0_13 ),
    inference(avatar_split_clause,[],[f235,f303,f367,f360,f263]) ).

fof(f235,plain,
    ! [X118,X119] :
      ( c1_1(X119)
      | c0_1(X118)
      | ~ c0_1(X119)
      | ~ c3_1(X118)
      | c3_1(X119)
      | ~ c2_1(X118)
      | hskp12
      | ~ ndr1_0 ),
    inference(duplicate_literal_removal,[],[f25]) ).

fof(f25,plain,
    ! [X118,X119] :
      ( ~ c2_1(X118)
      | ~ ndr1_0
      | c1_1(X119)
      | hskp12
      | ~ ndr1_0
      | c0_1(X118)
      | ~ c0_1(X119)
      | c3_1(X119)
      | ~ c3_1(X118) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f680,plain,
    ( ~ spl0_94
    | ~ spl0_19 ),
    inference(avatar_split_clause,[],[f75,f328,f677]) ).

fof(f75,plain,
    ( ~ hskp19
    | ~ c3_1(a1460) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f675,plain,
    ( spl0_93
    | ~ spl0_59 ),
    inference(avatar_split_clause,[],[f39,f503,f672]) ).

fof(f39,plain,
    ( ~ hskp3
    | c1_1(a1431) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f665,plain,
    ( spl0_3
    | ~ spl0_78 ),
    inference(avatar_split_clause,[],[f68,f595,f263]) ).

fof(f68,plain,
    ( ~ hskp17
    | ndr1_0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f664,plain,
    ( ~ spl0_59
    | spl0_3 ),
    inference(avatar_split_clause,[],[f41,f263,f503]) ).

fof(f41,plain,
    ( ndr1_0
    | ~ hskp3 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f663,plain,
    ( ~ spl0_10
    | spl0_91 ),
    inference(avatar_split_clause,[],[f45,f660,f290]) ).

fof(f45,plain,
    ( c2_1(a1504)
    | ~ hskp25 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f652,plain,
    ( spl0_21
    | ~ spl0_3
    | spl0_69
    | spl0_41 ),
    inference(avatar_split_clause,[],[f237,f420,f552,f263,f335]) ).

fof(f237,plain,
    ! [X36,X35] :
      ( ~ c3_1(X35)
      | c1_1(X35)
      | hskp23
      | ~ ndr1_0
      | ~ c2_1(X36)
      | ~ c2_1(X35)
      | c3_1(X36)
      | ~ c0_1(X36) ),
    inference(duplicate_literal_removal,[],[f167]) ).

fof(f167,plain,
    ! [X36,X35] :
      ( ~ c3_1(X35)
      | ~ c2_1(X36)
      | ~ ndr1_0
      | hskp23
      | ~ c2_1(X35)
      | ~ ndr1_0
      | c1_1(X35)
      | c3_1(X36)
      | ~ c0_1(X36) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f644,plain,
    ( ~ spl0_3
    | spl0_61
    | spl0_85
    | spl0_40 ),
    inference(avatar_split_clause,[],[f239,f417,f629,f511,f263]) ).

fof(f239,plain,
    ! [X80,X81,X79] :
      ( ~ c2_1(X81)
      | c1_1(X79)
      | ~ c0_1(X81)
      | ~ c1_1(X80)
      | ~ c3_1(X81)
      | ~ ndr1_0
      | ~ c3_1(X79)
      | c2_1(X79)
      | c3_1(X80)
      | ~ c0_1(X80) ),
    inference(duplicate_literal_removal,[],[f109]) ).

fof(f109,plain,
    ! [X80,X81,X79] :
      ( ~ c0_1(X80)
      | c3_1(X80)
      | c2_1(X79)
      | ~ c3_1(X79)
      | ~ ndr1_0
      | ~ c3_1(X81)
      | ~ ndr1_0
      | c1_1(X79)
      | ~ c2_1(X81)
      | ~ c0_1(X81)
      | ~ ndr1_0
      | ~ c1_1(X80) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f643,plain,
    ( spl0_52
    | spl0_42
    | ~ spl0_3
    | spl0_24 ),
    inference(avatar_split_clause,[],[f240,f346,f263,f423,f472]) ).

fof(f240,plain,
    ! [X8,X7] :
      ( hskp0
      | ~ ndr1_0
      | c0_1(X7)
      | c0_1(X8)
      | ~ c3_1(X8)
      | ~ c2_1(X7)
      | c1_1(X7)
      | ~ c1_1(X8) ),
    inference(duplicate_literal_removal,[],[f199]) ).

fof(f199,plain,
    ! [X8,X7] :
      ( ~ ndr1_0
      | c0_1(X7)
      | ~ c1_1(X8)
      | ~ ndr1_0
      | c1_1(X7)
      | c0_1(X8)
      | hskp0
      | ~ c3_1(X8)
      | ~ c2_1(X7) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f642,plain,
    ( ~ spl0_87
    | ~ spl0_78 ),
    inference(avatar_split_clause,[],[f69,f595,f639]) ).

fof(f69,plain,
    ( ~ hskp17
    | ~ c1_1(a1457) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f637,plain,
    ( ~ spl0_86
    | ~ spl0_59 ),
    inference(avatar_split_clause,[],[f40,f503,f634]) ).

fof(f40,plain,
    ( ~ hskp3
    | ~ c0_1(a1431) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f631,plain,
    ( spl0_85
    | ~ spl0_3
    | spl0_51
    | spl0_8 ),
    inference(avatar_split_clause,[],[f241,f282,f464,f263,f629]) ).

fof(f241,plain,
    ! [X16,X17] :
      ( ~ c0_1(X16)
      | c2_1(X16)
      | ~ c3_1(X16)
      | hskp22
      | ~ ndr1_0
      | ~ c3_1(X17)
      | c1_1(X17)
      | c2_1(X17) ),
    inference(duplicate_literal_removal,[],[f190]) ).

fof(f190,plain,
    ! [X16,X17] :
      ( ~ c3_1(X17)
      | hskp22
      | ~ ndr1_0
      | ~ c0_1(X16)
      | c2_1(X17)
      | c1_1(X17)
      | ~ ndr1_0
      | c2_1(X16)
      | ~ c3_1(X16) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f627,plain,
    ( ~ spl0_75
    | spl0_84 ),
    inference(avatar_split_clause,[],[f132,f624,f580]) ).

fof(f132,plain,
    ( c2_1(a1428)
    | ~ hskp27 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f622,plain,
    ( ~ spl0_83
    | ~ spl0_71 ),
    inference(avatar_split_clause,[],[f27,f562,f619]) ).

fof(f27,plain,
    ( ~ hskp10
    | ~ c1_1(a1445) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f617,plain,
    ( spl0_22
    | spl0_82
    | spl0_78
    | ~ spl0_3 ),
    inference(avatar_split_clause,[],[f242,f263,f595,f615,f339]) ).

fof(f242,plain,
    ! [X116,X115] :
      ( ~ ndr1_0
      | hskp17
      | c3_1(X115)
      | c2_1(X115)
      | c1_1(X115)
      | c1_1(X116)
      | ~ c0_1(X116)
      | ~ c2_1(X116) ),
    inference(duplicate_literal_removal,[],[f35]) ).

fof(f35,plain,
    ! [X116,X115] :
      ( c1_1(X116)
      | ~ ndr1_0
      | ~ c0_1(X116)
      | ~ ndr1_0
      | c3_1(X115)
      | c1_1(X115)
      | hskp17
      | ~ c2_1(X116)
      | c2_1(X115) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f608,plain,
    ( ~ spl0_71
    | spl0_80 ),
    inference(avatar_split_clause,[],[f29,f605,f562]) ).

fof(f29,plain,
    ( c0_1(a1445)
    | ~ hskp10 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f602,plain,
    ( ~ spl0_78
    | ~ spl0_79 ),
    inference(avatar_split_clause,[],[f67,f599,f595]) ).

fof(f67,plain,
    ( ~ c0_1(a1457)
    | ~ hskp17 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f593,plain,
    ( ~ spl0_33
    | spl0_77 ),
    inference(avatar_split_clause,[],[f24,f590,f386]) ).

fof(f24,plain,
    ( c2_1(a1451)
    | ~ hskp14 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f588,plain,
    ( ~ spl0_33
    | ~ spl0_76 ),
    inference(avatar_split_clause,[],[f22,f585,f386]) ).

fof(f22,plain,
    ( ~ c1_1(a1451)
    | ~ hskp14 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f583,plain,
    ( spl0_74
    | ~ spl0_75 ),
    inference(avatar_split_clause,[],[f131,f580,f576]) ).

fof(f131,plain,
    ( ~ hskp27
    | c3_1(a1428) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f574,plain,
    ( spl0_72
    | ~ spl0_73 ),
    inference(avatar_split_clause,[],[f8,f571,f567]) ).

fof(f8,plain,
    ( ~ hskp13
    | c2_1(a1449) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f565,plain,
    ( ~ spl0_70
    | ~ spl0_71 ),
    inference(avatar_split_clause,[],[f30,f562,f558]) ).

fof(f30,plain,
    ( ~ hskp10
    | ~ c3_1(a1445) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f550,plain,
    ( spl0_33
    | ~ spl0_3
    | spl0_68
    | spl0_14 ),
    inference(avatar_split_clause,[],[f243,f306,f548,f263,f386]) ).

fof(f243,plain,
    ! [X82,X83] :
      ( ~ c2_1(X83)
      | c3_1(X82)
      | ~ c1_1(X83)
      | c0_1(X83)
      | ~ ndr1_0
      | ~ c2_1(X82)
      | hskp14
      | c0_1(X82) ),
    inference(duplicate_literal_removal,[],[f108]) ).

fof(f108,plain,
    ! [X82,X83] :
      ( c3_1(X82)
      | hskp14
      | c0_1(X83)
      | ~ ndr1_0
      | ~ ndr1_0
      | ~ c2_1(X82)
      | c0_1(X82)
      | ~ c2_1(X83)
      | ~ c1_1(X83) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f546,plain,
    ( ~ spl0_67
    | ~ spl0_62 ),
    inference(avatar_split_clause,[],[f99,f516,f543]) ).

fof(f99,plain,
    ( ~ hskp2
    | ~ c2_1(a1430) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f541,plain,
    ( ~ spl0_3
    | spl0_12
    | spl0_18
    | spl0_14 ),
    inference(avatar_split_clause,[],[f141,f306,f323,f299,f263]) ).

fof(f141,plain,
    ! [X64] :
      ( ~ c1_1(X64)
      | ~ c2_1(X64)
      | c0_1(X64)
      | hskp16
      | hskp7
      | ~ ndr1_0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f526,plain,
    ( spl0_63
    | ~ spl0_6 ),
    inference(avatar_split_clause,[],[f178,f274,f523]) ).

fof(f178,plain,
    ( ~ hskp4
    | c1_1(a1434) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f520,plain,
    ( spl0_12
    | spl0_5
    | spl0_6
    | ~ spl0_3 ),
    inference(avatar_split_clause,[],[f140,f263,f274,f271,f299]) ).

fof(f140,plain,
    ! [X65] :
      ( ~ ndr1_0
      | hskp4
      | c3_1(X65)
      | ~ c0_1(X65)
      | c2_1(X65)
      | hskp7 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f513,plain,
    ( spl0_33
    | spl0_18
    | ~ spl0_3
    | spl0_61 ),
    inference(avatar_split_clause,[],[f195,f511,f263,f323,f386]) ).

fof(f195,plain,
    ! [X15] :
      ( c3_1(X15)
      | ~ c1_1(X15)
      | ~ ndr1_0
      | ~ c0_1(X15)
      | hskp16
      | hskp14 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f509,plain,
    ( spl0_59
    | spl0_60
    | spl0_52
    | ~ spl0_3 ),
    inference(avatar_split_clause,[],[f244,f263,f472,f507,f503]) ).

fof(f244,plain,
    ! [X111,X110] :
      ( ~ ndr1_0
      | c0_1(X110)
      | ~ c1_1(X110)
      | c0_1(X111)
      | hskp3
      | c1_1(X111)
      | c3_1(X111)
      | ~ c3_1(X110) ),
    inference(duplicate_literal_removal,[],[f37]) ).

fof(f37,plain,
    ! [X111,X110] :
      ( c1_1(X111)
      | c0_1(X111)
      | ~ ndr1_0
      | ~ ndr1_0
      | ~ c3_1(X110)
      | c3_1(X111)
      | c0_1(X110)
      | ~ c1_1(X110)
      | hskp3 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f501,plain,
    ( ~ spl0_57
    | ~ spl0_58 ),
    inference(avatar_split_clause,[],[f64,f498,f494]) ).

fof(f64,plain,
    ( ~ hskp21
    | ~ c1_1(a1466) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f492,plain,
    ( ~ spl0_51
    | ~ spl0_56 ),
    inference(avatar_split_clause,[],[f51,f489,f464]) ).

fof(f51,plain,
    ( ~ c0_1(a1468)
    | ~ hskp22 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f487,plain,
    ( ~ spl0_55
    | ~ spl0_23 ),
    inference(avatar_split_clause,[],[f119,f342,f484]) ).

fof(f119,plain,
    ( ~ hskp11
    | ~ c3_1(a1447) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f482,plain,
    ( ~ spl0_27
    | ~ spl0_54 ),
    inference(avatar_split_clause,[],[f19,f479,f360]) ).

fof(f19,plain,
    ( ~ c2_1(a1448)
    | ~ hskp12 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f477,plain,
    ( spl0_49
    | ~ spl0_3
    | spl0_52
    | spl0_53 ),
    inference(avatar_split_clause,[],[f245,f475,f472,f263,f455]) ).

fof(f245,plain,
    ! [X54,X53] :
      ( c2_1(X53)
      | ~ c3_1(X54)
      | ~ ndr1_0
      | ~ c1_1(X54)
      | ~ c0_1(X53)
      | c0_1(X54)
      | ~ c1_1(X53)
      | hskp28 ),
    inference(duplicate_literal_removal,[],[f152]) ).

fof(f152,plain,
    ! [X54,X53] :
      ( ~ ndr1_0
      | ~ c3_1(X54)
      | ~ c1_1(X54)
      | ~ c0_1(X53)
      | c0_1(X54)
      | hskp28
      | ~ c1_1(X53)
      | ~ ndr1_0
      | c2_1(X53) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f468,plain,
    ( spl0_23
    | ~ spl0_3
    | spl0_21
    | spl0_27 ),
    inference(avatar_split_clause,[],[f176,f360,f335,f263,f342]) ).

fof(f176,plain,
    ! [X28] :
      ( hskp12
      | ~ c2_1(X28)
      | c3_1(X28)
      | ~ ndr1_0
      | hskp11
      | ~ c0_1(X28) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f467,plain,
    ( spl0_50
    | ~ spl0_51 ),
    inference(avatar_split_clause,[],[f50,f464,f460]) ).

fof(f50,plain,
    ( ~ hskp22
    | c1_1(a1468) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f458,plain,
    ( spl0_48
    | ~ spl0_49 ),
    inference(avatar_split_clause,[],[f80,f455,f451]) ).

fof(f80,plain,
    ( ~ hskp28
    | c1_1(a1456) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f449,plain,
    ( ~ spl0_47
    | ~ spl0_33 ),
    inference(avatar_split_clause,[],[f21,f386,f446]) ).

fof(f21,plain,
    ( ~ hskp14
    | ~ c3_1(a1451) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f434,plain,
    ( ~ spl0_43
    | ~ spl0_44 ),
    inference(avatar_split_clause,[],[f52,f431,f427]) ).

fof(f52,plain,
    ( ~ c0_1(a1429)
    | ~ hskp1 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f425,plain,
    ( spl0_40
    | ~ spl0_3
    | spl0_41
    | spl0_42 ),
    inference(avatar_split_clause,[],[f246,f423,f420,f263,f417]) ).

fof(f246,plain,
    ! [X98,X99,X100] :
      ( c0_1(X100)
      | ~ c3_1(X99)
      | ~ ndr1_0
      | ~ c0_1(X98)
      | ~ c2_1(X100)
      | ~ c3_1(X98)
      | c1_1(X99)
      | ~ c2_1(X99)
      | c1_1(X100)
      | ~ c2_1(X98) ),
    inference(duplicate_literal_removal,[],[f76]) ).

fof(f76,plain,
    ! [X98,X99,X100] :
      ( ~ ndr1_0
      | ~ c3_1(X99)
      | c1_1(X100)
      | ~ ndr1_0
      | ~ c2_1(X99)
      | c1_1(X99)
      | c0_1(X100)
      | ~ ndr1_0
      | ~ c3_1(X98)
      | ~ c2_1(X98)
      | ~ c0_1(X98)
      | ~ c2_1(X100) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f415,plain,
    ( spl0_39
    | ~ spl0_12 ),
    inference(avatar_split_clause,[],[f155,f299,f412]) ).

fof(f155,plain,
    ( ~ hskp7
    | c3_1(a1438) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f410,plain,
    ( ~ spl0_6
    | ~ spl0_38 ),
    inference(avatar_split_clause,[],[f180,f407,f274]) ).

fof(f180,plain,
    ( ~ c2_1(a1434)
    | ~ hskp4 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f405,plain,
    ( spl0_27
    | ~ spl0_3
    | spl0_36
    | spl0_37 ),
    inference(avatar_split_clause,[],[f247,f403,f400,f263,f360]) ).

fof(f247,plain,
    ! [X101,X102] :
      ( c3_1(X102)
      | ~ c1_1(X102)
      | ~ c2_1(X101)
      | ~ ndr1_0
      | hskp12
      | c2_1(X102)
      | c3_1(X101)
      | ~ c1_1(X101) ),
    inference(duplicate_literal_removal,[],[f66]) ).

fof(f66,plain,
    ! [X101,X102] :
      ( c3_1(X102)
      | ~ ndr1_0
      | c3_1(X101)
      | ~ c1_1(X101)
      | ~ c2_1(X101)
      | ~ ndr1_0
      | ~ c1_1(X102)
      | hskp12
      | c2_1(X102) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f393,plain,
    ( spl0_24
    | spl0_5
    | ~ spl0_3
    | spl0_34 ),
    inference(avatar_split_clause,[],[f248,f391,f263,f271,f346]) ).

fof(f248,plain,
    ! [X41,X42] :
      ( c1_1(X41)
      | c2_1(X41)
      | ~ ndr1_0
      | ~ c0_1(X42)
      | c3_1(X42)
      | c2_1(X42)
      | hskp0
      | c0_1(X41) ),
    inference(duplicate_literal_removal,[],[f164]) ).

fof(f164,plain,
    ! [X41,X42] :
      ( c2_1(X41)
      | ~ ndr1_0
      | c2_1(X42)
      | c0_1(X41)
      | ~ c0_1(X42)
      | hskp0
      | c1_1(X41)
      | c3_1(X42)
      | ~ ndr1_0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f374,plain,
    ( spl0_30
    | ~ spl0_27 ),
    inference(avatar_split_clause,[],[f18,f360,f371]) ).

fof(f18,plain,
    ( ~ hskp12
    | c3_1(a1448) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f369,plain,
    ( spl0_27
    | spl0_28
    | ~ spl0_3
    | spl0_29 ),
    inference(avatar_split_clause,[],[f249,f367,f263,f364,f360]) ).

fof(f249,plain,
    ! [X26,X27] :
      ( c0_1(X27)
      | ~ c2_1(X27)
      | ~ ndr1_0
      | ~ c1_1(X26)
      | ~ c3_1(X27)
      | ~ c3_1(X26)
      | c2_1(X26)
      | hskp12 ),
    inference(duplicate_literal_removal,[],[f177]) ).

fof(f177,plain,
    ! [X26,X27] :
      ( ~ c3_1(X27)
      | ~ c1_1(X26)
      | ~ c2_1(X27)
      | hskp12
      | c0_1(X27)
      | ~ ndr1_0
      | ~ c3_1(X26)
      | c2_1(X26)
      | ~ ndr1_0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f337,plain,
    ( spl0_19
    | ~ spl0_3
    | spl0_20
    | spl0_21 ),
    inference(avatar_split_clause,[],[f250,f335,f332,f263,f328]) ).

fof(f250,plain,
    ! [X48,X47] :
      ( c3_1(X47)
      | c1_1(X48)
      | c2_1(X48)
      | ~ c2_1(X47)
      | ~ ndr1_0
      | hskp19
      | ~ c0_1(X47)
      | ~ c0_1(X48) ),
    inference(duplicate_literal_removal,[],[f161]) ).

fof(f161,plain,
    ! [X48,X47] :
      ( ~ ndr1_0
      | ~ ndr1_0
      | ~ c2_1(X47)
      | ~ c0_1(X48)
      | c3_1(X47)
      | c1_1(X48)
      | hskp19
      | c2_1(X48)
      | ~ c0_1(X47) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f326,plain,
    ( ~ spl0_17
    | ~ spl0_18 ),
    inference(avatar_split_clause,[],[f169,f323,f319]) ).

fof(f169,plain,
    ( ~ hskp16
    | ~ c3_1(a1454) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f317,plain,
    ( ~ spl0_15
    | spl0_16 ),
    inference(avatar_split_clause,[],[f148,f314,f310]) ).

fof(f148,plain,
    ( c0_1(a1452)
    | ~ hskp15 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f308,plain,
    ( ~ spl0_3
    | spl0_12
    | spl0_13
    | spl0_14 ),
    inference(avatar_split_clause,[],[f251,f306,f303,f299,f263]) ).

fof(f251,plain,
    ! [X40,X39] :
      ( ~ c2_1(X39)
      | c1_1(X40)
      | ~ c1_1(X39)
      | hskp7
      | c3_1(X40)
      | ~ c0_1(X40)
      | c0_1(X39)
      | ~ ndr1_0 ),
    inference(duplicate_literal_removal,[],[f165]) ).

fof(f165,plain,
    ! [X40,X39] :
      ( ~ c1_1(X39)
      | c1_1(X40)
      | c3_1(X40)
      | ~ ndr1_0
      | hskp7
      | ~ c0_1(X40)
      | ~ ndr1_0
      | c0_1(X39)
      | ~ c2_1(X39) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f297,plain,
    ( ~ spl0_10
    | ~ spl0_11 ),
    inference(avatar_split_clause,[],[f44,f294,f290]) ).

fof(f44,plain,
    ( ~ c0_1(a1504)
    | ~ hskp25 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f288,plain,
    ( ~ spl0_3
    | spl0_7
    | spl0_8
    | spl0_9 ),
    inference(avatar_split_clause,[],[f252,f285,f282,f279,f263]) ).

fof(f252,plain,
    ! [X11,X12] :
      ( hskp5
      | c2_1(X12)
      | ~ c0_1(X12)
      | c0_1(X11)
      | c1_1(X11)
      | ~ c3_1(X12)
      | ~ c3_1(X11)
      | ~ ndr1_0 ),
    inference(duplicate_literal_removal,[],[f197]) ).

fof(f197,plain,
    ! [X11,X12] :
      ( ~ c0_1(X12)
      | c0_1(X11)
      | ~ c3_1(X12)
      | c1_1(X11)
      | ~ ndr1_0
      | ~ c3_1(X11)
      | hskp5
      | c2_1(X12)
      | ~ ndr1_0 ),
    inference(cnf_transformation,[],[f7]) ).

%------------------------------------------------------------------------------
%----ORIGINAL SYSTEM OUTPUT
% 0.03/0.12  % Problem    : SYN479+1 : TPTP v8.1.0. Released v2.1.0.
% 0.03/0.13  % Command    : vampire --input_syntax tptp --proof tptp --output_axiom_names on --mode portfolio --schedule snake_tptp_uns --cores 0 -t %d %s
% 0.12/0.33  % Computer : n016.cluster.edu
% 0.12/0.33  % Model    : x86_64 x86_64
% 0.12/0.33  % CPU      : Intel(R) Xeon(R) CPU E5-2620 v4 @ 2.10GHz
% 0.12/0.33  % Memory   : 8042.1875MB
% 0.12/0.33  % OS       : Linux 3.10.0-693.el7.x86_64
% 0.12/0.33  % CPULimit   : 300
% 0.12/0.33  % WCLimit    : 300
% 0.12/0.33  % DateTime   : Tue Aug 30 22:21:31 EDT 2022
% 0.12/0.33  % CPUTime    : 
% 0.18/0.47  % (18175)dis-10_3:2_amm=sco:ep=RS:fsr=off:nm=10:sd=2:sos=on:ss=axioms:st=3.0:i=11:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/11Mi)
% 0.18/0.47  % (18159)lrs+10_5:1_br=off:fde=none:nwc=3.0:sd=1:sgt=10:sos=on:ss=axioms:urr=on:i=51:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/51Mi)
% 0.18/0.48  % (18157)lrs+10_1:1_gsp=on:sd=1:sgt=32:sos=on:ss=axioms:i=13:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/13Mi)
% 0.18/0.48  % (18167)lrs+10_1:2_br=off:nm=4:ss=included:urr=on:i=7:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/7Mi)
% 0.18/0.49  % (18165)lrs+10_1:1_br=off:sos=on:ss=axioms:st=2.0:urr=on:i=33:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/33Mi)
% 0.18/0.49  % (18175)Instruction limit reached!
% 0.18/0.49  % (18175)------------------------------
% 0.18/0.49  % (18175)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 0.18/0.50  % (18183)dis+21_1:1_aac=none:abs=on:er=known:fde=none:fsr=off:nwc=5.0:s2a=on:s2at=4.0:sp=const_frequency:to=lpo:urr=ec_only:i=25:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/25Mi)
% 0.18/0.50  % (18175)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 0.18/0.50  % (18175)Termination reason: Unknown
% 0.18/0.50  % (18175)Termination phase: Saturation
% 0.18/0.50  
% 0.18/0.50  % (18175)Memory used [KB]: 6908
% 0.18/0.50  % (18175)Time elapsed: 0.123 s
% 0.18/0.50  % (18175)Instructions burned: 12 (million)
% 0.18/0.50  % (18175)------------------------------
% 0.18/0.50  % (18175)------------------------------
% 0.18/0.50  % (18167)Instruction limit reached!
% 0.18/0.50  % (18167)------------------------------
% 0.18/0.50  % (18167)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 0.18/0.50  % (18167)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 0.18/0.50  % (18167)Termination reason: Unknown
% 0.18/0.50  % (18167)Termination phase: Saturation
% 0.18/0.50  
% 0.18/0.50  % (18167)Memory used [KB]: 6396
% 0.18/0.50  % (18167)Time elapsed: 0.004 s
% 0.18/0.50  % (18167)Instructions burned: 7 (million)
% 0.18/0.50  % (18167)------------------------------
% 0.18/0.50  % (18167)------------------------------
% 0.18/0.50  % (18160)lrs+10_1:1024_nm=0:nwc=5.0:ss=axioms:i=13:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/13Mi)
% 0.18/0.50  % (18173)fmb+10_1:1_nm=2:i=3:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/3Mi)
% 0.18/0.50  % (18166)lrs+10_1:1_ep=R:lcm=predicate:lma=on:sos=all:spb=goal:ss=included:i=12:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/12Mi)
% 0.18/0.51  % (18176)dis+1010_1:1_bs=on:ep=RS:erd=off:newcnf=on:nwc=10.0:s2a=on:sgt=32:ss=axioms:i=30:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/30Mi)
% 0.18/0.51  % (18157)Instruction limit reached!
% 0.18/0.51  % (18157)------------------------------
% 0.18/0.51  % (18157)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 0.18/0.51  % (18161)dis+21_1:1_av=off:sos=on:sp=frequency:ss=included:to=lpo:i=15:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/15Mi)
% 0.18/0.51  % (18157)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 0.18/0.51  % (18157)Termination reason: Unknown
% 0.18/0.51  % (18157)Termination phase: Saturation
% 0.18/0.51  
% 0.18/0.51  % (18157)Memory used [KB]: 6908
% 0.18/0.51  % (18157)Time elapsed: 0.009 s
% 0.18/0.51  % (18157)Instructions burned: 13 (million)
% 0.18/0.51  % (18157)------------------------------
% 0.18/0.51  % (18157)------------------------------
% 0.18/0.51  % (18158)dis+1002_1:1_aac=none:bd=off:sac=on:sos=on:spb=units:i=3:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/3Mi)
% 0.18/0.51  % (18184)dis+2_3:1_aac=none:abs=on:ep=R:lcm=reverse:nwc=10.0:sos=on:sp=const_frequency:spb=units:urr=ec_only:i=8:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/8Mi)
% 0.18/0.51  % (18173)Instruction limit reached!
% 0.18/0.51  % (18173)------------------------------
% 0.18/0.51  % (18173)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 0.18/0.51  % (18173)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 0.18/0.51  % (18173)Termination reason: Unknown
% 0.18/0.51  % (18173)Termination phase: Preprocessing 2
% 0.18/0.51  
% 0.18/0.51  % (18173)Memory used [KB]: 1791
% 0.18/0.51  % (18173)Time elapsed: 0.005 s
% 0.18/0.51  % (18173)Instructions burned: 3 (million)
% 0.18/0.51  % (18173)------------------------------
% 0.18/0.51  % (18173)------------------------------
% 0.18/0.51  % (18158)Instruction limit reached!
% 0.18/0.51  % (18158)------------------------------
% 0.18/0.51  % (18158)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 0.18/0.51  % (18158)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 0.18/0.51  % (18158)Termination reason: Unknown
% 0.18/0.51  % (18158)Termination phase: Preprocessing 3
% 0.18/0.51  
% 0.18/0.51  % (18158)Memory used [KB]: 1791
% 0.18/0.51  % (18158)Time elapsed: 0.003 s
% 0.18/0.51  % (18158)Instructions burned: 4 (million)
% 0.18/0.51  % (18158)------------------------------
% 0.18/0.51  % (18158)------------------------------
% 0.18/0.51  % (18162)dis+1010_1:50_awrs=decay:awrsf=128:nwc=10.0:s2pl=no:sp=frequency:ss=axioms:i=39:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/39Mi)
% 0.18/0.52  % (18164)dis+10_1:1_newcnf=on:sgt=8:sos=on:ss=axioms:to=lpo:urr=on:i=49:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/49Mi)
% 0.18/0.52  % (18160)Instruction limit reached!
% 0.18/0.52  % (18160)------------------------------
% 0.18/0.52  % (18160)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 0.18/0.52  % (18160)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 0.18/0.52  % (18160)Termination reason: Unknown
% 0.18/0.52  % (18160)Termination phase: Saturation
% 0.18/0.52  
% 0.18/0.52  % (18160)Memory used [KB]: 6908
% 0.18/0.52  % (18160)Time elapsed: 0.132 s
% 0.18/0.52  % (18160)Instructions burned: 14 (million)
% 0.18/0.52  % (18160)------------------------------
% 0.18/0.52  % (18160)------------------------------
% 0.18/0.52  % (18185)lrs-11_1:1_nm=0:sac=on:sd=4:ss=axioms:st=3.0:i=24:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/24Mi)
% 0.18/0.52  % (18165)Instruction limit reached!
% 0.18/0.52  % (18165)------------------------------
% 0.18/0.52  % (18165)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 0.18/0.52  % (18165)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 0.18/0.52  % (18165)Termination reason: Unknown
% 0.18/0.52  % (18165)Termination phase: Saturation
% 0.18/0.52  
% 0.18/0.52  % (18165)Memory used [KB]: 7291
% 0.18/0.52  % (18165)Time elapsed: 0.115 s
% 0.18/0.52  % (18165)Instructions burned: 34 (million)
% 0.18/0.52  % (18165)------------------------------
% 0.18/0.52  % (18165)------------------------------
% 0.18/0.52  % (18178)dis+1010_2:3_fs=off:fsr=off:nm=0:nwc=5.0:s2a=on:s2agt=32:i=82:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/82Mi)
% 0.18/0.52  % (18179)dis+10_1:1_av=off:sos=on:sp=reverse_arity:ss=included:st=2.0:to=lpo:urr=ec_only:i=45:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/45Mi)
% 0.18/0.52  % (18156)dis+1002_1:12_drc=off:fd=preordered:tgt=full:i=99978:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/99978Mi)
% 0.18/0.52  % (18180)dis+21_1:1_ep=RS:nwc=10.0:s2a=on:s2at=1.5:i=50:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/50Mi)
% 0.18/0.52  % (18171)lrs+10_1:1_drc=off:sp=reverse_frequency:spb=goal:to=lpo:i=7:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/7Mi)
% 0.18/0.53  % (18166)Instruction limit reached!
% 0.18/0.53  % (18166)------------------------------
% 0.18/0.53  % (18166)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 0.18/0.53  % (18170)lrs+10_1:1_ins=3:sp=reverse_frequency:spb=goal:to=lpo:i=3:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/3Mi)
% 0.18/0.53  % (18166)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 0.18/0.53  % (18166)Termination reason: Unknown
% 0.18/0.53  % (18166)Termination phase: Saturation
% 0.18/0.53  
% 0.18/0.53  % (18166)Memory used [KB]: 6908
% 0.18/0.53  % (18166)Time elapsed: 0.132 s
% 0.18/0.53  % (18166)Instructions burned: 12 (million)
% 0.18/0.53  % (18166)------------------------------
% 0.18/0.53  % (18166)------------------------------
% 0.18/0.53  % (18171)Instruction limit reached!
% 0.18/0.53  % (18171)------------------------------
% 0.18/0.53  % (18171)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 0.18/0.53  % (18171)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 0.18/0.53  % (18171)Termination reason: Unknown
% 0.18/0.53  % (18171)Termination phase: Saturation
% 0.18/0.53  
% 0.18/0.53  % (18171)Memory used [KB]: 6524
% 0.18/0.53  % (18171)Time elapsed: 0.006 s
% 0.18/0.53  % (18171)Instructions burned: 8 (million)
% 0.18/0.53  % (18171)------------------------------
% 0.18/0.53  % (18171)------------------------------
% 0.18/0.53  % (18170)Instruction limit reached!
% 0.18/0.53  % (18170)------------------------------
% 0.18/0.53  % (18170)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 0.18/0.53  % (18170)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 0.18/0.53  % (18170)Termination reason: Unknown
% 0.18/0.53  % (18170)Termination phase: shuffling
% 0.18/0.53  
% 0.18/0.53  % (18170)Memory used [KB]: 1791
% 0.18/0.53  % (18170)Time elapsed: 0.003 s
% 0.18/0.53  % (18170)Instructions burned: 3 (million)
% 0.18/0.53  % (18170)------------------------------
% 0.18/0.53  % (18170)------------------------------
% 0.18/0.53  % (18159)Instruction limit reached!
% 0.18/0.53  % (18159)------------------------------
% 0.18/0.53  % (18159)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 0.18/0.53  % (18159)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 0.18/0.53  % (18159)Termination reason: Unknown
% 0.18/0.53  % (18159)Termination phase: Saturation
% 0.18/0.53  
% 0.18/0.53  % (18159)Memory used [KB]: 7803
% 0.18/0.53  % (18159)Time elapsed: 0.154 s
% 0.18/0.53  % (18159)Instructions burned: 51 (million)
% 0.18/0.53  % (18159)------------------------------
% 0.18/0.53  % (18159)------------------------------
% 0.18/0.53  % (18163)lrs+2_1:1_lcm=reverse:lma=on:sos=all:spb=goal_then_units:ss=included:urr=on:i=39:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/39Mi)
% 0.18/0.53  % (18168)lrs+10_1:4_av=off:bs=unit_only:bsr=unit_only:ep=RS:s2a=on:sos=on:sp=frequency:to=lpo:i=16:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/16Mi)
% 0.18/0.53  % (18184)Instruction limit reached!
% 0.18/0.53  % (18184)------------------------------
% 0.18/0.53  % (18184)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 0.18/0.53  % (18184)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 0.18/0.53  % (18184)Termination reason: Unknown
% 0.18/0.53  % (18184)Termination phase: Saturation
% 0.18/0.53  
% 0.18/0.53  % (18184)Memory used [KB]: 6652
% 0.18/0.53  % (18184)Time elapsed: 0.006 s
% 0.18/0.53  % (18184)Instructions burned: 9 (million)
% 0.18/0.53  % (18184)------------------------------
% 0.18/0.53  % (18184)------------------------------
% 0.18/0.53  % (18182)lrs+1011_1:1_fd=preordered:fsd=on:sos=on:thsq=on:thsqc=64:thsqd=32:uwa=ground:i=99:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/99Mi)
% 0.18/0.53  % (18174)ott+1010_1:1_sd=2:sos=on:sp=occurrence:ss=axioms:urr=on:i=2:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/2Mi)
% 0.18/0.53  % (18161)Instruction limit reached!
% 0.18/0.53  % (18161)------------------------------
% 0.18/0.53  % (18161)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 0.18/0.53  % (18161)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 0.18/0.53  % (18161)Termination reason: Unknown
% 0.18/0.53  % (18161)Termination phase: Saturation
% 0.18/0.53  
% 0.18/0.53  % (18161)Memory used [KB]: 2046
% 0.18/0.53  % (18161)Time elapsed: 0.148 s
% 0.18/0.53  % (18161)Instructions burned: 16 (million)
% 0.18/0.53  % (18161)------------------------------
% 0.18/0.53  % (18161)------------------------------
% 0.18/0.54  % (18174)Instruction limit reached!
% 0.18/0.54  % (18174)------------------------------
% 0.18/0.54  % (18174)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 0.18/0.54  % (18174)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 0.18/0.54  % (18174)Termination reason: Unknown
% 0.18/0.54  % (18174)Termination phase: Unused predicate definition removal
% 0.18/0.54  
% 0.18/0.54  % (18174)Memory used [KB]: 1663
% 0.18/0.54  % (18174)Time elapsed: 0.004 s
% 0.18/0.54  % (18174)Instructions burned: 3 (million)
% 0.18/0.54  % (18174)------------------------------
% 0.18/0.54  % (18174)------------------------------
% 0.18/0.54  % (18169)lrs+10_1:32_br=off:nm=16:sd=2:ss=axioms:st=2.0:urr=on:i=51:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/51Mi)
% 0.18/0.54  % (18181)lrs+11_1:1_plsq=on:plsqc=1:plsqr=32,1:ss=included:i=95:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/95Mi)
% 0.18/0.54  % (18177)ott+21_1:1_erd=off:s2a=on:sac=on:sd=1:sgt=64:sos=on:ss=included:st=3.0:to=lpo:urr=on:i=99:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/99Mi)
% 0.18/0.54  % (18172)lrs+1011_1:1_fd=preordered:fsd=on:sos=on:thsq=on:thsqc=64:thsqd=32:uwa=ground:i=50:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/50Mi)
% 1.59/0.55  % (18183)Instruction limit reached!
% 1.59/0.55  % (18183)------------------------------
% 1.59/0.55  % (18183)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.59/0.55  % (18183)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.59/0.55  % (18183)Termination reason: Unknown
% 1.59/0.55  % (18183)Termination phase: Saturation
% 1.59/0.55  
% 1.59/0.55  % (18183)Memory used [KB]: 7164
% 1.59/0.55  % (18183)Time elapsed: 0.175 s
% 1.59/0.55  % (18183)Instructions burned: 26 (million)
% 1.59/0.55  % (18183)------------------------------
% 1.59/0.55  % (18183)------------------------------
% 1.59/0.56  % (18168)Instruction limit reached!
% 1.59/0.56  % (18168)------------------------------
% 1.59/0.56  % (18168)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.59/0.56  % (18168)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.59/0.56  % (18168)Termination reason: Unknown
% 1.59/0.56  % (18168)Termination phase: Saturation
% 1.59/0.56  
% 1.59/0.56  % (18168)Memory used [KB]: 2046
% 1.59/0.56  % (18168)Time elapsed: 0.175 s
% 1.59/0.56  % (18168)Instructions burned: 17 (million)
% 1.59/0.56  % (18168)------------------------------
% 1.59/0.56  % (18168)------------------------------
% 1.73/0.57  % (18185)Instruction limit reached!
% 1.73/0.57  % (18185)------------------------------
% 1.73/0.57  % (18185)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.73/0.57  % (18185)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.73/0.57  % (18185)Termination reason: Unknown
% 1.73/0.57  % (18185)Termination phase: Saturation
% 1.73/0.57  
% 1.73/0.57  % (18185)Memory used [KB]: 6908
% 1.73/0.57  % (18185)Time elapsed: 0.190 s
% 1.73/0.57  % (18185)Instructions burned: 25 (million)
% 1.73/0.57  % (18185)------------------------------
% 1.73/0.57  % (18185)------------------------------
% 1.73/0.58  % (18176)Instruction limit reached!
% 1.73/0.58  % (18176)------------------------------
% 1.73/0.58  % (18176)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.73/0.58  % (18176)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.73/0.58  % (18176)Termination reason: Unknown
% 1.73/0.58  % (18176)Termination phase: Saturation
% 1.73/0.58  
% 1.73/0.58  % (18176)Memory used [KB]: 7164
% 1.73/0.58  % (18176)Time elapsed: 0.170 s
% 1.73/0.58  % (18176)Instructions burned: 31 (million)
% 1.73/0.58  % (18176)------------------------------
% 1.73/0.58  % (18176)------------------------------
% 1.73/0.58  % (18186)lrs+1010_1:1_afq=1.1:anc=none:bd=off:sd=2:sos=on:ss=axioms:i=92:si=on:rawr=on:rtra=on_0 on theBenchmark for (2998ds/92Mi)
% 1.73/0.59  % (18162)Instruction limit reached!
% 1.73/0.59  % (18162)------------------------------
% 1.73/0.59  % (18162)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.73/0.59  % (18162)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.73/0.59  % (18162)Termination reason: Unknown
% 1.73/0.59  % (18162)Termination phase: Saturation
% 1.73/0.59  
% 1.73/0.59  % (18162)Memory used [KB]: 7291
% 1.73/0.59  % (18162)Time elapsed: 0.203 s
% 1.73/0.59  % (18162)Instructions burned: 39 (million)
% 1.73/0.59  % (18162)------------------------------
% 1.73/0.59  % (18162)------------------------------
% 1.73/0.59  % (18163)Instruction limit reached!
% 1.73/0.59  % (18163)------------------------------
% 1.73/0.59  % (18163)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.73/0.59  % (18163)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.73/0.59  % (18163)Termination reason: Unknown
% 1.73/0.59  % (18163)Termination phase: Saturation
% 1.73/0.59  
% 1.73/0.59  % (18163)Memory used [KB]: 7547
% 1.73/0.59  % (18163)Time elapsed: 0.158 s
% 1.73/0.59  % (18163)Instructions burned: 39 (million)
% 1.73/0.59  % (18163)------------------------------
% 1.73/0.59  % (18163)------------------------------
% 1.73/0.59  % (18178)First to succeed.
% 1.73/0.60  % (18187)lrs+1011_1:1_afp=100000:afq=1.4:bd=preordered:cond=fast:fde=unused:gs=on:gsem=on:irw=on:lma=on:nm=16:sd=1:sos=all:sp=const_min:ss=axioms:i=7:si=on:rawr=on:rtra=on_0 on theBenchmark for (2998ds/7Mi)
% 1.73/0.60  % (18179)Instruction limit reached!
% 1.73/0.60  % (18179)------------------------------
% 1.73/0.60  % (18179)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.73/0.60  % (18179)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.73/0.60  % (18179)Termination reason: Unknown
% 1.73/0.60  % (18179)Termination phase: Saturation
% 1.73/0.60  
% 1.73/0.60  % (18179)Memory used [KB]: 2174
% 1.73/0.60  % (18179)Time elapsed: 0.184 s
% 1.73/0.60  % (18179)Instructions burned: 46 (million)
% 1.73/0.60  % (18179)------------------------------
% 1.73/0.60  % (18179)------------------------------
% 1.73/0.61  % (18169)Instruction limit reached!
% 1.73/0.61  % (18169)------------------------------
% 1.73/0.61  % (18169)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.73/0.62  % (18164)Instruction limit reached!
% 1.73/0.62  % (18164)------------------------------
% 1.73/0.62  % (18164)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.73/0.62  % (18164)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.73/0.62  % (18164)Termination reason: Unknown
% 1.73/0.62  % (18180)Instruction limit reached!
% 1.73/0.62  % (18180)------------------------------
% 1.73/0.62  % (18180)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.73/0.62  % (18164)Termination phase: Saturation
% 1.73/0.62  
% 1.73/0.62  % (18164)Memory used [KB]: 7675
% 1.73/0.62  % (18164)Time elapsed: 0.217 s
% 1.73/0.62  % (18164)Instructions burned: 49 (million)
% 1.73/0.62  % (18164)------------------------------
% 1.73/0.62  % (18164)------------------------------
% 1.73/0.62  % (18187)Instruction limit reached!
% 1.73/0.62  % (18187)------------------------------
% 1.73/0.62  % (18187)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.73/0.62  % (18180)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.73/0.62  % (18180)Termination reason: Unknown
% 1.73/0.62  % (18180)Termination phase: Saturation
% 1.73/0.62  
% 1.73/0.62  % (18180)Memory used [KB]: 7419
% 1.73/0.62  % (18180)Time elapsed: 0.225 s
% 1.73/0.62  % (18180)Instructions burned: 50 (million)
% 1.73/0.62  % (18180)------------------------------
% 1.73/0.62  % (18180)------------------------------
% 1.73/0.62  % (18169)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.73/0.62  % (18169)Termination reason: Unknown
% 1.73/0.62  % (18169)Termination phase: Saturation
% 1.73/0.62  
% 1.73/0.62  % (18169)Memory used [KB]: 7675
% 1.73/0.62  % (18169)Time elapsed: 0.234 s
% 1.73/0.62  % (18169)Instructions burned: 52 (million)
% 1.73/0.62  % (18169)------------------------------
% 1.73/0.62  % (18169)------------------------------
% 1.73/0.63  % (18187)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.73/0.63  % (18187)Termination reason: Unknown
% 1.73/0.63  % (18187)Termination phase: Saturation
% 1.73/0.63  
% 1.73/0.63  % (18187)Memory used [KB]: 11001
% 1.73/0.63  % (18187)Time elapsed: 0.007 s
% 1.73/0.63  % (18187)Instructions burned: 8 (million)
% 1.73/0.63  % (18187)------------------------------
% 1.73/0.63  % (18187)------------------------------
% 1.73/0.63  % (18188)lrs+11_1:1_bd=off:sd=2:sos=all:sp=unary_frequency:ss=axioms:i=87:si=on:rawr=on:rtra=on_0 on theBenchmark for (2998ds/87Mi)
% 2.21/0.63  % (18172)Instruction limit reached!
% 2.21/0.63  % (18172)------------------------------
% 2.21/0.63  % (18172)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 2.21/0.63  % (18172)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 2.21/0.63  % (18172)Termination reason: Unknown
% 2.21/0.63  % (18172)Termination phase: Saturation
% 2.21/0.63  
% 2.21/0.63  % (18172)Memory used [KB]: 7547
% 2.21/0.63  % (18172)Time elapsed: 0.245 s
% 2.21/0.63  % (18172)Instructions burned: 50 (million)
% 2.21/0.63  % (18172)------------------------------
% 2.21/0.63  % (18172)------------------------------
% 2.21/0.63  % (18196)lrs+1010_1:4_amm=off:bce=on:sd=1:sos=on:ss=included:i=84:si=on:rawr=on:rtra=on_0 on theBenchmark for (2998ds/84Mi)
% 2.21/0.64  % (18189)ott+4_1:28_av=off:sos=all:i=69:si=on:rawr=on:rtra=on_0 on theBenchmark for (2998ds/69Mi)
% 2.21/0.64  % (18191)lrs+1010_1:1_bd=off:skr=on:ss=axioms:i=56:si=on:rawr=on:rtra=on_0 on theBenchmark for (2998ds/56Mi)
% 2.21/0.64  % (18178)Refutation found. Thanks to Tanya!
% 2.21/0.64  % SZS status Theorem for theBenchmark
% 2.21/0.64  % SZS output start Proof for theBenchmark
% See solution above
% 2.21/0.65  % (18178)------------------------------
% 2.21/0.65  % (18178)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 2.21/0.65  % (18178)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 2.21/0.65  % (18178)Termination reason: Refutation
% 2.21/0.65  
% 2.21/0.65  % (18178)Memory used [KB]: 8443
% 2.21/0.65  % (18178)Time elapsed: 0.209 s
% 2.21/0.65  % (18178)Instructions burned: 47 (million)
% 2.21/0.65  % (18178)------------------------------
% 2.21/0.65  % (18178)------------------------------
% 2.21/0.65  % (18155)Success in time 0.313 s
%------------------------------------------------------------------------------