TSTP Solution File: SYN451+1 by SnakeForV---1.0

View Problem - Process Solution

%------------------------------------------------------------------------------
% File     : SnakeForV---1.0
% Problem  : SYN451+1 : TPTP v8.1.0. Released v2.1.0.
% Transfm  : none
% Format   : tptp:raw
% Command  : vampire --input_syntax tptp --proof tptp --output_axiom_names on --mode portfolio --schedule snake_tptp_uns --cores 0 -t %d %s

% Computer : n018.cluster.edu
% Model    : x86_64 x86_64
% CPU      : Intel(R) Xeon(R) CPU E5-2620 v4 2.10GHz
% Memory   : 8042.1875MB
% OS       : Linux 3.10.0-693.el7.x86_64
% CPULimit : 300s
% WCLimit  : 300s
% DateTime : Wed Aug 31 19:26:50 EDT 2022

% Result   : Theorem 2.58s 0.75s
% Output   : Refutation 2.72s
% Verified : 
% SZS Type : Refutation
%            Derivation depth      :   10
%            Number of leaves      :  115
% Syntax   : Number of formulae    :  491 (   1 unt;   0 def)
%            Number of atoms       : 5847 (   0 equ)
%            Maximal formula atoms :  599 (  11 avg)
%            Number of connectives : 7902 (2546   ~;3649   |;1225   &)
%                                         ( 114 <=>; 368  =>;   0  <=;   0 <~>)
%            Maximal formula depth :   98 (   6 avg)
%            Maximal term depth    :    1 (   1 avg)
%            Number of predicates  :  149 ( 148 usr; 145 prp; 0-1 aty)
%            Number of functors    :   29 (  29 usr;  29 con; 0-0 aty)
%            Number of variables   :  789 ( 789   !;   0   ?)

% Comments : 
%------------------------------------------------------------------------------
fof(f2671,plain,
    $false,
    inference(avatar_sat_refutation,[],[f251,f260,f274,f291,f302,f307,f316,f325,f334,f342,f347,f352,f364,f408,f418,f423,f432,f455,f464,f473,f481,f486,f505,f514,f519,f524,f538,f557,f564,f570,f577,f588,f597,f602,f606,f622,f627,f632,f638,f647,f654,f664,f665,f667,f679,f685,f695,f700,f706,f711,f716,f729,f734,f736,f746,f753,f759,f770,f775,f776,f783,f795,f802,f807,f808,f816,f818,f820,f830,f835,f841,f842,f847,f857,f862,f867,f873,f889,f894,f904,f909,f915,f923,f932,f933,f938,f944,f983,f1003,f1032,f1040,f1043,f1080,f1139,f1142,f1172,f1173,f1175,f1216,f1236,f1315,f1320,f1327,f1336,f1337,f1357,f1364,f1511,f1515,f1526,f1570,f1727,f1794,f1796,f1803,f1945,f1965,f1985,f2007,f2055,f2095,f2098,f2114,f2136,f2156,f2182,f2250,f2251,f2289,f2331,f2334,f2344,f2379,f2431,f2452,f2456,f2463,f2464,f2555,f2589,f2608,f2609,f2649,f2651,f2660]) ).

fof(f2660,plain,
    ( ~ spl0_126
    | ~ spl0_13
    | ~ spl0_35
    | ~ spl0_167 ),
    inference(avatar_split_clause,[],[f2625,f1523,f362,f267,f827]) ).

fof(f827,plain,
    ( spl0_126
  <=> c0_1(a730) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_126])]) ).

fof(f267,plain,
    ( spl0_13
  <=> c3_1(a730) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_13])]) ).

fof(f362,plain,
    ( spl0_35
  <=> ! [X39] :
        ( ~ c3_1(X39)
        | ~ c2_1(X39)
        | ~ c0_1(X39) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_35])]) ).

fof(f1523,plain,
    ( spl0_167
  <=> c2_1(a730) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_167])]) ).

fof(f2625,plain,
    ( ~ c3_1(a730)
    | ~ c0_1(a730)
    | ~ spl0_35
    | ~ spl0_167 ),
    inference(resolution,[],[f363,f1525]) ).

fof(f1525,plain,
    ( c2_1(a730)
    | ~ spl0_167 ),
    inference(avatar_component_clause,[],[f1523]) ).

fof(f363,plain,
    ( ! [X39] :
        ( ~ c2_1(X39)
        | ~ c3_1(X39)
        | ~ c0_1(X39) )
    | ~ spl0_35 ),
    inference(avatar_component_clause,[],[f362]) ).

fof(f2651,plain,
    ( ~ spl0_162
    | ~ spl0_132
    | ~ spl0_35
    | ~ spl0_119 ),
    inference(avatar_split_clause,[],[f2646,f780,f362,f859,f1095]) ).

fof(f1095,plain,
    ( spl0_162
  <=> c3_1(a750) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_162])]) ).

fof(f859,plain,
    ( spl0_132
  <=> c0_1(a750) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_132])]) ).

fof(f780,plain,
    ( spl0_119
  <=> c2_1(a750) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_119])]) ).

fof(f2646,plain,
    ( ~ c0_1(a750)
    | ~ c3_1(a750)
    | ~ spl0_35
    | ~ spl0_119 ),
    inference(resolution,[],[f363,f782]) ).

fof(f782,plain,
    ( c2_1(a750)
    | ~ spl0_119 ),
    inference(avatar_component_clause,[],[f780]) ).

fof(f2649,plain,
    ( ~ spl0_107
    | ~ spl0_101
    | ~ spl0_35
    | ~ spl0_48 ),
    inference(avatar_split_clause,[],[f2644,f420,f362,f676,f708]) ).

fof(f708,plain,
    ( spl0_107
  <=> c0_1(a729) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_107])]) ).

fof(f676,plain,
    ( spl0_101
  <=> c3_1(a729) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_101])]) ).

fof(f420,plain,
    ( spl0_48
  <=> c2_1(a729) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_48])]) ).

fof(f2644,plain,
    ( ~ c3_1(a729)
    | ~ c0_1(a729)
    | ~ spl0_35
    | ~ spl0_48 ),
    inference(resolution,[],[f363,f422]) ).

fof(f422,plain,
    ( c2_1(a729)
    | ~ spl0_48 ),
    inference(avatar_component_clause,[],[f420]) ).

fof(f2609,plain,
    ( spl0_110
    | spl0_92
    | ~ spl0_20
    | spl0_165 ),
    inference(avatar_split_clause,[],[f2606,f1275,f296,f629,f726]) ).

fof(f726,plain,
    ( spl0_110
  <=> c1_1(a749) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_110])]) ).

fof(f629,plain,
    ( spl0_92
  <=> c0_1(a749) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_92])]) ).

fof(f296,plain,
    ( spl0_20
  <=> ! [X41] :
        ( c0_1(X41)
        | c2_1(X41)
        | c1_1(X41) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_20])]) ).

fof(f1275,plain,
    ( spl0_165
  <=> c2_1(a749) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_165])]) ).

fof(f2606,plain,
    ( c0_1(a749)
    | c1_1(a749)
    | ~ spl0_20
    | spl0_165 ),
    inference(resolution,[],[f297,f1276]) ).

fof(f1276,plain,
    ( ~ c2_1(a749)
    | spl0_165 ),
    inference(avatar_component_clause,[],[f1275]) ).

fof(f297,plain,
    ( ! [X41] :
        ( c2_1(X41)
        | c1_1(X41)
        | c0_1(X41) )
    | ~ spl0_20 ),
    inference(avatar_component_clause,[],[f296]) ).

fof(f2608,plain,
    ( spl0_134
    | spl0_106
    | ~ spl0_20
    | spl0_152 ),
    inference(avatar_split_clause,[],[f2605,f980,f296,f703,f870]) ).

fof(f870,plain,
    ( spl0_134
  <=> c1_1(a744) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_134])]) ).

fof(f703,plain,
    ( spl0_106
  <=> c0_1(a744) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_106])]) ).

fof(f980,plain,
    ( spl0_152
  <=> c2_1(a744) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_152])]) ).

fof(f2605,plain,
    ( c0_1(a744)
    | c1_1(a744)
    | ~ spl0_20
    | spl0_152 ),
    inference(resolution,[],[f297,f981]) ).

fof(f981,plain,
    ( ~ c2_1(a744)
    | spl0_152 ),
    inference(avatar_component_clause,[],[f980]) ).

fof(f2589,plain,
    ( spl0_18
    | spl0_149
    | ~ spl0_9
    | ~ spl0_104 ),
    inference(avatar_split_clause,[],[f2574,f692,f249,f955,f288]) ).

fof(f288,plain,
    ( spl0_18
  <=> c2_1(a731) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_18])]) ).

fof(f955,plain,
    ( spl0_149
  <=> c0_1(a731) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_149])]) ).

fof(f249,plain,
    ( spl0_9
  <=> ! [X54] :
        ( c0_1(X54)
        | ~ c1_1(X54)
        | c2_1(X54) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_9])]) ).

fof(f692,plain,
    ( spl0_104
  <=> c1_1(a731) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_104])]) ).

fof(f2574,plain,
    ( c0_1(a731)
    | c2_1(a731)
    | ~ spl0_9
    | ~ spl0_104 ),
    inference(resolution,[],[f250,f694]) ).

fof(f694,plain,
    ( c1_1(a731)
    | ~ spl0_104 ),
    inference(avatar_component_clause,[],[f692]) ).

fof(f250,plain,
    ( ! [X54] :
        ( ~ c1_1(X54)
        | c2_1(X54)
        | c0_1(X54) )
    | ~ spl0_9 ),
    inference(avatar_component_clause,[],[f249]) ).

fof(f2555,plain,
    ( ~ spl0_101
    | ~ spl0_107
    | ~ spl0_103
    | ~ spl0_158 ),
    inference(avatar_split_clause,[],[f2549,f1060,f687,f708,f676]) ).

fof(f687,plain,
    ( spl0_103
  <=> ! [X43] :
        ( ~ c3_1(X43)
        | ~ c0_1(X43)
        | ~ c1_1(X43) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_103])]) ).

fof(f1060,plain,
    ( spl0_158
  <=> c1_1(a729) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_158])]) ).

fof(f2549,plain,
    ( ~ c0_1(a729)
    | ~ c3_1(a729)
    | ~ spl0_103
    | ~ spl0_158 ),
    inference(resolution,[],[f688,f1062]) ).

fof(f1062,plain,
    ( c1_1(a729)
    | ~ spl0_158 ),
    inference(avatar_component_clause,[],[f1060]) ).

fof(f688,plain,
    ( ! [X43] :
        ( ~ c1_1(X43)
        | ~ c3_1(X43)
        | ~ c0_1(X43) )
    | ~ spl0_103 ),
    inference(avatar_component_clause,[],[f687]) ).

fof(f2464,plain,
    ( ~ spl0_128
    | spl0_137
    | ~ spl0_97
    | ~ spl0_111 ),
    inference(avatar_split_clause,[],[f2435,f731,f652,f886,f838]) ).

fof(f838,plain,
    ( spl0_128
  <=> c3_1(a759) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_128])]) ).

fof(f886,plain,
    ( spl0_137
  <=> c1_1(a759) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_137])]) ).

fof(f652,plain,
    ( spl0_97
  <=> ! [X48] :
        ( ~ c2_1(X48)
        | c1_1(X48)
        | ~ c3_1(X48) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_97])]) ).

fof(f731,plain,
    ( spl0_111
  <=> c2_1(a759) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_111])]) ).

fof(f2435,plain,
    ( c1_1(a759)
    | ~ c3_1(a759)
    | ~ spl0_97
    | ~ spl0_111 ),
    inference(resolution,[],[f653,f733]) ).

fof(f733,plain,
    ( c2_1(a759)
    | ~ spl0_111 ),
    inference(avatar_component_clause,[],[f731]) ).

fof(f653,plain,
    ( ! [X48] :
        ( ~ c2_1(X48)
        | ~ c3_1(X48)
        | c1_1(X48) )
    | ~ spl0_97 ),
    inference(avatar_component_clause,[],[f652]) ).

fof(f2463,plain,
    ( spl0_158
    | ~ spl0_101
    | ~ spl0_48
    | ~ spl0_97 ),
    inference(avatar_split_clause,[],[f2445,f652,f420,f676,f1060]) ).

fof(f2445,plain,
    ( ~ c3_1(a729)
    | c1_1(a729)
    | ~ spl0_48
    | ~ spl0_97 ),
    inference(resolution,[],[f653,f422]) ).

fof(f2456,plain,
    ( ~ spl0_175
    | spl0_129
    | ~ spl0_97
    | ~ spl0_146 ),
    inference(avatar_split_clause,[],[f2433,f935,f652,f844,f2111]) ).

fof(f2111,plain,
    ( spl0_175
  <=> c3_1(a733) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_175])]) ).

fof(f844,plain,
    ( spl0_129
  <=> c1_1(a733) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_129])]) ).

fof(f935,plain,
    ( spl0_146
  <=> c2_1(a733) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_146])]) ).

fof(f2433,plain,
    ( c1_1(a733)
    | ~ c3_1(a733)
    | ~ spl0_97
    | ~ spl0_146 ),
    inference(resolution,[],[f653,f937]) ).

fof(f937,plain,
    ( c2_1(a733)
    | ~ spl0_146 ),
    inference(avatar_component_clause,[],[f935]) ).

fof(f2452,plain,
    ( ~ spl0_138
    | spl0_161
    | ~ spl0_32
    | ~ spl0_97 ),
    inference(avatar_split_clause,[],[f2434,f652,f349,f1089,f891]) ).

fof(f891,plain,
    ( spl0_138
  <=> c3_1(a735) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_138])]) ).

fof(f1089,plain,
    ( spl0_161
  <=> c1_1(a735) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_161])]) ).

fof(f349,plain,
    ( spl0_32
  <=> c2_1(a735) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_32])]) ).

fof(f2434,plain,
    ( c1_1(a735)
    | ~ c3_1(a735)
    | ~ spl0_32
    | ~ spl0_97 ),
    inference(resolution,[],[f653,f351]) ).

fof(f351,plain,
    ( c2_1(a735)
    | ~ spl0_32 ),
    inference(avatar_component_clause,[],[f349]) ).

fof(f2431,plain,
    ( spl0_25
    | spl0_110
    | ~ spl0_45
    | ~ spl0_165 ),
    inference(avatar_split_clause,[],[f2430,f1275,f410,f726,f318]) ).

fof(f318,plain,
    ( spl0_25
  <=> c3_1(a749) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_25])]) ).

fof(f410,plain,
    ( spl0_45
  <=> ! [X29] :
        ( c1_1(X29)
        | ~ c2_1(X29)
        | c3_1(X29) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_45])]) ).

fof(f2430,plain,
    ( c1_1(a749)
    | c3_1(a749)
    | ~ spl0_45
    | ~ spl0_165 ),
    inference(resolution,[],[f1277,f411]) ).

fof(f411,plain,
    ( ! [X29] :
        ( ~ c2_1(X29)
        | c1_1(X29)
        | c3_1(X29) )
    | ~ spl0_45 ),
    inference(avatar_component_clause,[],[f410]) ).

fof(f1277,plain,
    ( c2_1(a749)
    | ~ spl0_165 ),
    inference(avatar_component_clause,[],[f1275]) ).

fof(f2379,plain,
    ( spl0_18
    | ~ spl0_149
    | ~ spl0_95
    | ~ spl0_104 ),
    inference(avatar_split_clause,[],[f2359,f692,f645,f955,f288]) ).

fof(f645,plain,
    ( spl0_95
  <=> ! [X26] :
        ( c2_1(X26)
        | ~ c0_1(X26)
        | ~ c1_1(X26) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_95])]) ).

fof(f2359,plain,
    ( ~ c0_1(a731)
    | c2_1(a731)
    | ~ spl0_95
    | ~ spl0_104 ),
    inference(resolution,[],[f646,f694]) ).

fof(f646,plain,
    ( ! [X26] :
        ( ~ c1_1(X26)
        | ~ c0_1(X26)
        | c2_1(X26) )
    | ~ spl0_95 ),
    inference(avatar_component_clause,[],[f645]) ).

fof(f2344,plain,
    ( spl0_18
    | spl0_149
    | ~ spl0_17
    | ~ spl0_31 ),
    inference(avatar_split_clause,[],[f2336,f344,f284,f955,f288]) ).

fof(f284,plain,
    ( spl0_17
  <=> ! [X75] :
        ( c0_1(X75)
        | ~ c3_1(X75)
        | c2_1(X75) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_17])]) ).

fof(f344,plain,
    ( spl0_31
  <=> c3_1(a731) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_31])]) ).

fof(f2336,plain,
    ( c0_1(a731)
    | c2_1(a731)
    | ~ spl0_17
    | ~ spl0_31 ),
    inference(resolution,[],[f285,f346]) ).

fof(f346,plain,
    ( c3_1(a731)
    | ~ spl0_31 ),
    inference(avatar_component_clause,[],[f344]) ).

fof(f285,plain,
    ( ! [X75] :
        ( ~ c3_1(X75)
        | c0_1(X75)
        | c2_1(X75) )
    | ~ spl0_17 ),
    inference(avatar_component_clause,[],[f284]) ).

fof(f2334,plain,
    ( ~ spl0_138
    | spl0_93
    | ~ spl0_85
    | ~ spl0_161 ),
    inference(avatar_split_clause,[],[f2300,f1089,f595,f635,f891]) ).

fof(f635,plain,
    ( spl0_93
  <=> c0_1(a735) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_93])]) ).

fof(f595,plain,
    ( spl0_85
  <=> ! [X88] :
        ( c0_1(X88)
        | ~ c3_1(X88)
        | ~ c1_1(X88) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_85])]) ).

fof(f2300,plain,
    ( c0_1(a735)
    | ~ c3_1(a735)
    | ~ spl0_85
    | ~ spl0_161 ),
    inference(resolution,[],[f596,f1091]) ).

fof(f1091,plain,
    ( c1_1(a735)
    | ~ spl0_161 ),
    inference(avatar_component_clause,[],[f1089]) ).

fof(f596,plain,
    ( ! [X88] :
        ( ~ c1_1(X88)
        | c0_1(X88)
        | ~ c3_1(X88) )
    | ~ spl0_85 ),
    inference(avatar_component_clause,[],[f595]) ).

fof(f2331,plain,
    ( ~ spl0_31
    | spl0_149
    | ~ spl0_85
    | ~ spl0_104 ),
    inference(avatar_split_clause,[],[f2299,f692,f595,f955,f344]) ).

fof(f2299,plain,
    ( c0_1(a731)
    | ~ c3_1(a731)
    | ~ spl0_85
    | ~ spl0_104 ),
    inference(resolution,[],[f596,f694]) ).

fof(f2289,plain,
    ( spl0_55
    | spl0_131
    | ~ spl0_56
    | ~ spl0_121 ),
    inference(avatar_split_clause,[],[f2266,f792,f457,f854,f452]) ).

fof(f452,plain,
    ( spl0_55
  <=> c2_1(a775) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_55])]) ).

fof(f854,plain,
    ( spl0_131
  <=> c3_1(a775) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_131])]) ).

fof(f457,plain,
    ( spl0_56
  <=> ! [X61] :
        ( c3_1(X61)
        | ~ c1_1(X61)
        | c2_1(X61) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_56])]) ).

fof(f792,plain,
    ( spl0_121
  <=> c1_1(a775) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_121])]) ).

fof(f2266,plain,
    ( c3_1(a775)
    | c2_1(a775)
    | ~ spl0_56
    | ~ spl0_121 ),
    inference(resolution,[],[f458,f794]) ).

fof(f794,plain,
    ( c1_1(a775)
    | ~ spl0_121 ),
    inference(avatar_component_clause,[],[f792]) ).

fof(f458,plain,
    ( ! [X61] :
        ( ~ c1_1(X61)
        | c2_1(X61)
        | c3_1(X61) )
    | ~ spl0_56 ),
    inference(avatar_component_clause,[],[f457]) ).

fof(f2251,plain,
    ( spl0_142
    | spl0_157
    | ~ spl0_80
    | ~ spl0_123 ),
    inference(avatar_split_clause,[],[f2236,f804,f568,f1029,f912]) ).

fof(f912,plain,
    ( spl0_142
  <=> c0_1(a777) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_142])]) ).

fof(f1029,plain,
    ( spl0_157
  <=> c1_1(a777) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_157])]) ).

fof(f568,plain,
    ( spl0_80
  <=> ! [X60] :
        ( c0_1(X60)
        | c1_1(X60)
        | ~ c2_1(X60) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_80])]) ).

fof(f804,plain,
    ( spl0_123
  <=> c2_1(a777) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_123])]) ).

fof(f2236,plain,
    ( c1_1(a777)
    | c0_1(a777)
    | ~ spl0_80
    | ~ spl0_123 ),
    inference(resolution,[],[f569,f806]) ).

fof(f806,plain,
    ( c2_1(a777)
    | ~ spl0_123 ),
    inference(avatar_component_clause,[],[f804]) ).

fof(f569,plain,
    ( ! [X60] :
        ( ~ c2_1(X60)
        | c0_1(X60)
        | c1_1(X60) )
    | ~ spl0_80 ),
    inference(avatar_component_clause,[],[f568]) ).

fof(f2250,plain,
    ( spl0_93
    | spl0_161
    | ~ spl0_32
    | ~ spl0_80 ),
    inference(avatar_split_clause,[],[f2230,f568,f349,f1089,f635]) ).

fof(f2230,plain,
    ( c1_1(a735)
    | c0_1(a735)
    | ~ spl0_32
    | ~ spl0_80 ),
    inference(resolution,[],[f569,f351]) ).

fof(f2182,plain,
    ( spl0_142
    | spl0_10
    | ~ spl0_61
    | ~ spl0_123 ),
    inference(avatar_split_clause,[],[f2175,f804,f479,f253,f912]) ).

fof(f253,plain,
    ( spl0_10
  <=> c3_1(a777) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_10])]) ).

fof(f479,plain,
    ( spl0_61
  <=> ! [X22] :
        ( c0_1(X22)
        | ~ c2_1(X22)
        | c3_1(X22) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_61])]) ).

fof(f2175,plain,
    ( c3_1(a777)
    | c0_1(a777)
    | ~ spl0_61
    | ~ spl0_123 ),
    inference(resolution,[],[f480,f806]) ).

fof(f480,plain,
    ( ! [X22] :
        ( ~ c2_1(X22)
        | c3_1(X22)
        | c0_1(X22) )
    | ~ spl0_61 ),
    inference(avatar_component_clause,[],[f479]) ).

fof(f2156,plain,
    ( ~ spl0_158
    | ~ spl0_107
    | ~ spl0_47
    | ~ spl0_48 ),
    inference(avatar_split_clause,[],[f2148,f420,f416,f708,f1060]) ).

fof(f416,plain,
    ( spl0_47
  <=> ! [X30] :
        ( ~ c1_1(X30)
        | ~ c0_1(X30)
        | ~ c2_1(X30) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_47])]) ).

fof(f2148,plain,
    ( ~ c0_1(a729)
    | ~ c1_1(a729)
    | ~ spl0_47
    | ~ spl0_48 ),
    inference(resolution,[],[f417,f422]) ).

fof(f417,plain,
    ( ! [X30] :
        ( ~ c2_1(X30)
        | ~ c0_1(X30)
        | ~ c1_1(X30) )
    | ~ spl0_47 ),
    inference(avatar_component_clause,[],[f416]) ).

fof(f2136,plain,
    ( spl0_22
    | ~ spl0_126
    | ~ spl0_13
    | ~ spl0_19 ),
    inference(avatar_split_clause,[],[f2117,f293,f267,f827,f304]) ).

fof(f304,plain,
    ( spl0_22
  <=> c1_1(a730) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_22])]) ).

fof(f293,plain,
    ( spl0_19
  <=> ! [X40] :
        ( c1_1(X40)
        | ~ c0_1(X40)
        | ~ c3_1(X40) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_19])]) ).

fof(f2117,plain,
    ( ~ c0_1(a730)
    | c1_1(a730)
    | ~ spl0_13
    | ~ spl0_19 ),
    inference(resolution,[],[f294,f269]) ).

fof(f269,plain,
    ( c3_1(a730)
    | ~ spl0_13 ),
    inference(avatar_component_clause,[],[f267]) ).

fof(f294,plain,
    ( ! [X40] :
        ( ~ c3_1(X40)
        | ~ c0_1(X40)
        | c1_1(X40) )
    | ~ spl0_19 ),
    inference(avatar_component_clause,[],[f293]) ).

fof(f2114,plain,
    ( spl0_129
    | spl0_175
    | ~ spl0_45
    | ~ spl0_146 ),
    inference(avatar_split_clause,[],[f2109,f935,f410,f2111,f844]) ).

fof(f2109,plain,
    ( c3_1(a733)
    | c1_1(a733)
    | ~ spl0_45
    | ~ spl0_146 ),
    inference(resolution,[],[f937,f411]) ).

fof(f2098,plain,
    ( spl0_133
    | spl0_57
    | ~ spl0_20
    | spl0_24 ),
    inference(avatar_split_clause,[],[f2097,f313,f296,f461,f864]) ).

fof(f864,plain,
    ( spl0_133
  <=> c0_1(a748) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_133])]) ).

fof(f461,plain,
    ( spl0_57
  <=> c1_1(a748) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_57])]) ).

fof(f313,plain,
    ( spl0_24
  <=> c2_1(a748) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_24])]) ).

fof(f2097,plain,
    ( c1_1(a748)
    | c0_1(a748)
    | ~ spl0_20
    | spl0_24 ),
    inference(resolution,[],[f315,f297]) ).

fof(f315,plain,
    ( ~ c2_1(a748)
    | spl0_24 ),
    inference(avatar_component_clause,[],[f313]) ).

fof(f2095,plain,
    ( ~ spl0_157
    | spl0_10
    | ~ spl0_29
    | ~ spl0_123 ),
    inference(avatar_split_clause,[],[f2069,f804,f336,f253,f1029]) ).

fof(f336,plain,
    ( spl0_29
  <=> ! [X50] :
        ( ~ c2_1(X50)
        | ~ c1_1(X50)
        | c3_1(X50) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_29])]) ).

fof(f2069,plain,
    ( c3_1(a777)
    | ~ c1_1(a777)
    | ~ spl0_29
    | ~ spl0_123 ),
    inference(resolution,[],[f337,f806]) ).

fof(f337,plain,
    ( ! [X50] :
        ( ~ c2_1(X50)
        | c3_1(X50)
        | ~ c1_1(X50) )
    | ~ spl0_29 ),
    inference(avatar_component_clause,[],[f336]) ).

fof(f2055,plain,
    ( spl0_155
    | spl0_118
    | ~ spl0_20
    | spl0_145 ),
    inference(avatar_split_clause,[],[f2053,f929,f296,f772,f1005]) ).

fof(f1005,plain,
    ( spl0_155
  <=> c1_1(a746) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_155])]) ).

fof(f772,plain,
    ( spl0_118
  <=> c0_1(a746) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_118])]) ).

fof(f929,plain,
    ( spl0_145
  <=> c2_1(a746) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_145])]) ).

fof(f2053,plain,
    ( c0_1(a746)
    | c1_1(a746)
    | ~ spl0_20
    | spl0_145 ),
    inference(resolution,[],[f297,f931]) ).

fof(f931,plain,
    ( ~ c2_1(a746)
    | spl0_145 ),
    inference(avatar_component_clause,[],[f929]) ).

fof(f2007,plain,
    ( spl0_92
    | spl0_25
    | ~ spl0_87
    | spl0_110 ),
    inference(avatar_split_clause,[],[f2006,f726,f604,f318,f629]) ).

fof(f604,plain,
    ( spl0_87
  <=> ! [X84] :
        ( c3_1(X84)
        | c0_1(X84)
        | c1_1(X84) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_87])]) ).

fof(f2006,plain,
    ( c3_1(a749)
    | c0_1(a749)
    | ~ spl0_87
    | spl0_110 ),
    inference(resolution,[],[f728,f605]) ).

fof(f605,plain,
    ( ! [X84] :
        ( c1_1(X84)
        | c3_1(X84)
        | c0_1(X84) )
    | ~ spl0_87 ),
    inference(avatar_component_clause,[],[f604]) ).

fof(f728,plain,
    ( ~ c1_1(a749)
    | spl0_110 ),
    inference(avatar_component_clause,[],[f726]) ).

fof(f1985,plain,
    ( spl0_127
    | spl0_58
    | ~ spl0_45
    | ~ spl0_86 ),
    inference(avatar_split_clause,[],[f1983,f599,f410,f466,f832]) ).

fof(f832,plain,
    ( spl0_127
  <=> c3_1(a779) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_127])]) ).

fof(f466,plain,
    ( spl0_58
  <=> c1_1(a779) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_58])]) ).

fof(f599,plain,
    ( spl0_86
  <=> c2_1(a779) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_86])]) ).

fof(f1983,plain,
    ( c1_1(a779)
    | c3_1(a779)
    | ~ spl0_45
    | ~ spl0_86 ),
    inference(resolution,[],[f601,f411]) ).

fof(f601,plain,
    ( c2_1(a779)
    | ~ spl0_86 ),
    inference(avatar_component_clause,[],[f599]) ).

fof(f1965,plain,
    ( spl0_81
    | spl0_102
    | ~ spl0_46
    | ~ spl0_50 ),
    inference(avatar_split_clause,[],[f1964,f429,f413,f682,f574]) ).

fof(f574,plain,
    ( spl0_81
  <=> c3_1(a802) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_81])]) ).

fof(f682,plain,
    ( spl0_102
  <=> c2_1(a802) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_102])]) ).

fof(f413,plain,
    ( spl0_46
  <=> ! [X28] :
        ( ~ c0_1(X28)
        | c2_1(X28)
        | c3_1(X28) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_46])]) ).

fof(f429,plain,
    ( spl0_50
  <=> c0_1(a802) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_50])]) ).

fof(f1964,plain,
    ( c2_1(a802)
    | c3_1(a802)
    | ~ spl0_46
    | ~ spl0_50 ),
    inference(resolution,[],[f431,f414]) ).

fof(f414,plain,
    ( ! [X28] :
        ( ~ c0_1(X28)
        | c2_1(X28)
        | c3_1(X28) )
    | ~ spl0_46 ),
    inference(avatar_component_clause,[],[f413]) ).

fof(f431,plain,
    ( c0_1(a802)
    | ~ spl0_50 ),
    inference(avatar_component_clause,[],[f429]) ).

fof(f1945,plain,
    ( spl0_131
    | spl0_55
    | ~ spl0_46
    | ~ spl0_166 ),
    inference(avatar_split_clause,[],[f1931,f1330,f413,f452,f854]) ).

fof(f1330,plain,
    ( spl0_166
  <=> c0_1(a775) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_166])]) ).

fof(f1931,plain,
    ( c2_1(a775)
    | c3_1(a775)
    | ~ spl0_46
    | ~ spl0_166 ),
    inference(resolution,[],[f414,f1332]) ).

fof(f1332,plain,
    ( c0_1(a775)
    | ~ spl0_166 ),
    inference(avatar_component_clause,[],[f1330]) ).

fof(f1803,plain,
    ( spl0_77
    | ~ spl0_20
    | ~ spl0_97 ),
    inference(avatar_split_clause,[],[f1793,f652,f296,f555]) ).

fof(f555,plain,
    ( spl0_77
  <=> ! [X71] :
        ( ~ c3_1(X71)
        | c1_1(X71)
        | c0_1(X71) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_77])]) ).

fof(f1793,plain,
    ( ! [X5] :
        ( c1_1(X5)
        | ~ c3_1(X5)
        | c0_1(X5) )
    | ~ spl0_20
    | ~ spl0_97 ),
    inference(duplicate_literal_removal,[],[f1783]) ).

fof(f1783,plain,
    ( ! [X5] :
        ( c1_1(X5)
        | ~ c3_1(X5)
        | c1_1(X5)
        | c0_1(X5) )
    | ~ spl0_20
    | ~ spl0_97 ),
    inference(resolution,[],[f653,f297]) ).

fof(f1796,plain,
    ( ~ spl0_13
    | spl0_22
    | ~ spl0_97
    | ~ spl0_167 ),
    inference(avatar_split_clause,[],[f1784,f1523,f652,f304,f267]) ).

fof(f1784,plain,
    ( c1_1(a730)
    | ~ c3_1(a730)
    | ~ spl0_97
    | ~ spl0_167 ),
    inference(resolution,[],[f653,f1525]) ).

fof(f1794,plain,
    ( ~ spl0_68
    | spl0_134
    | ~ spl0_97
    | ~ spl0_152 ),
    inference(avatar_split_clause,[],[f1785,f980,f652,f870,f511]) ).

fof(f511,plain,
    ( spl0_68
  <=> c3_1(a744) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_68])]) ).

fof(f1785,plain,
    ( c1_1(a744)
    | ~ c3_1(a744)
    | ~ spl0_97
    | ~ spl0_152 ),
    inference(resolution,[],[f653,f982]) ).

fof(f982,plain,
    ( c2_1(a744)
    | ~ spl0_152 ),
    inference(avatar_component_clause,[],[f980]) ).

fof(f1727,plain,
    ( spl0_22
    | spl0_167
    | ~ spl0_71
    | ~ spl0_126 ),
    inference(avatar_split_clause,[],[f1696,f827,f527,f1523,f304]) ).

fof(f527,plain,
    ( spl0_71
  <=> ! [X90] :
        ( c2_1(X90)
        | ~ c0_1(X90)
        | c1_1(X90) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_71])]) ).

fof(f1696,plain,
    ( c2_1(a730)
    | c1_1(a730)
    | ~ spl0_71
    | ~ spl0_126 ),
    inference(resolution,[],[f528,f829]) ).

fof(f829,plain,
    ( c0_1(a730)
    | ~ spl0_126 ),
    inference(avatar_component_clause,[],[f827]) ).

fof(f528,plain,
    ( ! [X90] :
        ( ~ c0_1(X90)
        | c1_1(X90)
        | c2_1(X90) )
    | ~ spl0_71 ),
    inference(avatar_component_clause,[],[f527]) ).

fof(f1570,plain,
    ( spl0_134
    | spl0_106
    | ~ spl0_68
    | ~ spl0_77 ),
    inference(avatar_split_clause,[],[f1559,f555,f511,f703,f870]) ).

fof(f1559,plain,
    ( c0_1(a744)
    | c1_1(a744)
    | ~ spl0_68
    | ~ spl0_77 ),
    inference(resolution,[],[f556,f513]) ).

fof(f513,plain,
    ( c3_1(a744)
    | ~ spl0_68 ),
    inference(avatar_component_clause,[],[f511]) ).

fof(f556,plain,
    ( ! [X71] :
        ( ~ c3_1(X71)
        | c0_1(X71)
        | c1_1(X71) )
    | ~ spl0_77 ),
    inference(avatar_component_clause,[],[f555]) ).

fof(f1526,plain,
    ( spl0_22
    | spl0_167
    | ~ spl0_13
    | ~ spl0_78 ),
    inference(avatar_split_clause,[],[f1520,f559,f267,f1523,f304]) ).

fof(f559,plain,
    ( spl0_78
  <=> ! [X13] :
        ( c1_1(X13)
        | c2_1(X13)
        | ~ c3_1(X13) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_78])]) ).

fof(f1520,plain,
    ( c2_1(a730)
    | c1_1(a730)
    | ~ spl0_13
    | ~ spl0_78 ),
    inference(resolution,[],[f269,f560]) ).

fof(f560,plain,
    ( ! [X13] :
        ( ~ c3_1(X13)
        | c1_1(X13)
        | c2_1(X13) )
    | ~ spl0_78 ),
    inference(avatar_component_clause,[],[f559]) ).

fof(f1515,plain,
    ( ~ spl0_149
    | spl0_18
    | ~ spl0_31
    | ~ spl0_96 ),
    inference(avatar_split_clause,[],[f1502,f649,f344,f288,f955]) ).

fof(f649,plain,
    ( spl0_96
  <=> ! [X47] :
        ( ~ c0_1(X47)
        | c2_1(X47)
        | ~ c3_1(X47) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_96])]) ).

fof(f1502,plain,
    ( c2_1(a731)
    | ~ c0_1(a731)
    | ~ spl0_31
    | ~ spl0_96 ),
    inference(resolution,[],[f650,f346]) ).

fof(f650,plain,
    ( ! [X47] :
        ( ~ c3_1(X47)
        | c2_1(X47)
        | ~ c0_1(X47) )
    | ~ spl0_96 ),
    inference(avatar_component_clause,[],[f649]) ).

fof(f1511,plain,
    ( spl0_99
    | ~ spl0_91
    | ~ spl0_62
    | ~ spl0_96 ),
    inference(avatar_split_clause,[],[f1506,f649,f483,f624,f661]) ).

fof(f661,plain,
    ( spl0_99
  <=> c2_1(a793) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_99])]) ).

fof(f624,plain,
    ( spl0_91
  <=> c0_1(a793) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_91])]) ).

fof(f483,plain,
    ( spl0_62
  <=> c3_1(a793) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_62])]) ).

fof(f1506,plain,
    ( ~ c0_1(a793)
    | c2_1(a793)
    | ~ spl0_62
    | ~ spl0_96 ),
    inference(resolution,[],[f650,f485]) ).

fof(f485,plain,
    ( c3_1(a793)
    | ~ spl0_62 ),
    inference(avatar_component_clause,[],[f483]) ).

fof(f1364,plain,
    ( spl0_18
    | ~ spl0_31
    | ~ spl0_79
    | ~ spl0_104 ),
    inference(avatar_split_clause,[],[f1341,f692,f562,f344,f288]) ).

fof(f562,plain,
    ( spl0_79
  <=> ! [X12] :
        ( c2_1(X12)
        | ~ c3_1(X12)
        | ~ c1_1(X12) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_79])]) ).

fof(f1341,plain,
    ( ~ c3_1(a731)
    | c2_1(a731)
    | ~ spl0_79
    | ~ spl0_104 ),
    inference(resolution,[],[f563,f694]) ).

fof(f563,plain,
    ( ! [X12] :
        ( ~ c1_1(X12)
        | ~ c3_1(X12)
        | c2_1(X12) )
    | ~ spl0_79 ),
    inference(avatar_component_clause,[],[f562]) ).

fof(f1357,plain,
    ( spl0_145
    | ~ spl0_73
    | ~ spl0_79
    | ~ spl0_155 ),
    inference(avatar_split_clause,[],[f1343,f1005,f562,f535,f929]) ).

fof(f535,plain,
    ( spl0_73
  <=> c3_1(a746) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_73])]) ).

fof(f1343,plain,
    ( ~ c3_1(a746)
    | c2_1(a746)
    | ~ spl0_79
    | ~ spl0_155 ),
    inference(resolution,[],[f563,f1007]) ).

fof(f1007,plain,
    ( c1_1(a746)
    | ~ spl0_155 ),
    inference(avatar_component_clause,[],[f1005]) ).

fof(f1337,plain,
    ( spl0_166
    | spl0_131
    | ~ spl0_8
    | ~ spl0_121 ),
    inference(avatar_split_clause,[],[f1335,f792,f246,f854,f1330]) ).

fof(f246,plain,
    ( spl0_8
  <=> ! [X53] :
        ( c3_1(X53)
        | ~ c1_1(X53)
        | c0_1(X53) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_8])]) ).

fof(f1335,plain,
    ( c3_1(a775)
    | c0_1(a775)
    | ~ spl0_8
    | ~ spl0_121 ),
    inference(resolution,[],[f794,f247]) ).

fof(f247,plain,
    ( ! [X53] :
        ( ~ c1_1(X53)
        | c0_1(X53)
        | c3_1(X53) )
    | ~ spl0_8 ),
    inference(avatar_component_clause,[],[f246]) ).

fof(f1336,plain,
    ( spl0_166
    | spl0_55
    | ~ spl0_9
    | ~ spl0_121 ),
    inference(avatar_split_clause,[],[f1334,f792,f249,f452,f1330]) ).

fof(f1334,plain,
    ( c2_1(a775)
    | c0_1(a775)
    | ~ spl0_9
    | ~ spl0_121 ),
    inference(resolution,[],[f794,f250]) ).

fof(f1327,plain,
    ( ~ spl0_140
    | spl0_108
    | ~ spl0_34
    | ~ spl0_160 ),
    inference(avatar_split_clause,[],[f1306,f1077,f359,f713,f901]) ).

fof(f901,plain,
    ( spl0_140
  <=> c0_1(a764) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_140])]) ).

fof(f713,plain,
    ( spl0_108
  <=> c3_1(a764) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_108])]) ).

fof(f359,plain,
    ( spl0_34
  <=> ! [X38] :
        ( ~ c0_1(X38)
        | ~ c1_1(X38)
        | c3_1(X38) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_34])]) ).

fof(f1077,plain,
    ( spl0_160
  <=> c1_1(a764) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_160])]) ).

fof(f1306,plain,
    ( c3_1(a764)
    | ~ c0_1(a764)
    | ~ spl0_34
    | ~ spl0_160 ),
    inference(resolution,[],[f360,f1079]) ).

fof(f1079,plain,
    ( c1_1(a764)
    | ~ spl0_160 ),
    inference(avatar_component_clause,[],[f1077]) ).

fof(f360,plain,
    ( ! [X38] :
        ( ~ c1_1(X38)
        | ~ c0_1(X38)
        | c3_1(X38) )
    | ~ spl0_34 ),
    inference(avatar_component_clause,[],[f359]) ).

fof(f1320,plain,
    ( spl0_70
    | ~ spl0_43
    | ~ spl0_34
    | ~ spl0_113 ),
    inference(avatar_split_clause,[],[f1310,f743,f359,f401,f521]) ).

fof(f521,plain,
    ( spl0_70
  <=> c3_1(a798) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_70])]) ).

fof(f401,plain,
    ( spl0_43
  <=> c0_1(a798) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_43])]) ).

fof(f743,plain,
    ( spl0_113
  <=> c1_1(a798) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_113])]) ).

fof(f1310,plain,
    ( ~ c0_1(a798)
    | c3_1(a798)
    | ~ spl0_34
    | ~ spl0_113 ),
    inference(resolution,[],[f360,f745]) ).

fof(f745,plain,
    ( c1_1(a798)
    | ~ spl0_113 ),
    inference(avatar_component_clause,[],[f743]) ).

fof(f1315,plain,
    ( ~ spl0_132
    | spl0_162
    | ~ spl0_34
    | ~ spl0_141 ),
    inference(avatar_split_clause,[],[f1312,f906,f359,f1095,f859]) ).

fof(f906,plain,
    ( spl0_141
  <=> c1_1(a750) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_141])]) ).

fof(f1312,plain,
    ( c3_1(a750)
    | ~ c0_1(a750)
    | ~ spl0_34
    | ~ spl0_141 ),
    inference(resolution,[],[f360,f908]) ).

fof(f908,plain,
    ( c1_1(a750)
    | ~ spl0_141 ),
    inference(avatar_component_clause,[],[f906]) ).

fof(f1236,plain,
    ( spl0_106
    | spl0_134
    | ~ spl0_80
    | ~ spl0_152 ),
    inference(avatar_split_clause,[],[f1223,f980,f568,f870,f703]) ).

fof(f1223,plain,
    ( c1_1(a744)
    | c0_1(a744)
    | ~ spl0_80
    | ~ spl0_152 ),
    inference(resolution,[],[f569,f982]) ).

fof(f1216,plain,
    ( spl0_93
    | spl0_161
    | ~ spl0_77
    | ~ spl0_138 ),
    inference(avatar_split_clause,[],[f1208,f891,f555,f1089,f635]) ).

fof(f1208,plain,
    ( c1_1(a735)
    | c0_1(a735)
    | ~ spl0_77
    | ~ spl0_138 ),
    inference(resolution,[],[f556,f893]) ).

fof(f893,plain,
    ( c3_1(a735)
    | ~ spl0_138 ),
    inference(avatar_component_clause,[],[f891]) ).

fof(f1175,plain,
    ( ~ spl0_138
    | spl0_93
    | ~ spl0_32
    | ~ spl0_66 ),
    inference(avatar_split_clause,[],[f1161,f503,f349,f635,f891]) ).

fof(f503,plain,
    ( spl0_66
  <=> ! [X58] :
        ( c0_1(X58)
        | ~ c3_1(X58)
        | ~ c2_1(X58) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_66])]) ).

fof(f1161,plain,
    ( c0_1(a735)
    | ~ c3_1(a735)
    | ~ spl0_32
    | ~ spl0_66 ),
    inference(resolution,[],[f504,f351]) ).

fof(f504,plain,
    ( ! [X58] :
        ( ~ c2_1(X58)
        | ~ c3_1(X58)
        | c0_1(X58) )
    | ~ spl0_66 ),
    inference(avatar_component_clause,[],[f503]) ).

fof(f1173,plain,
    ( spl0_77
    | ~ spl0_20
    | ~ spl0_66 ),
    inference(avatar_split_clause,[],[f1168,f503,f296,f555]) ).

fof(f1168,plain,
    ( ! [X0] :
        ( ~ c3_1(X0)
        | c1_1(X0)
        | c0_1(X0) )
    | ~ spl0_20
    | ~ spl0_66 ),
    inference(duplicate_literal_removal,[],[f1160]) ).

fof(f1160,plain,
    ( ! [X0] :
        ( c0_1(X0)
        | c0_1(X0)
        | ~ c3_1(X0)
        | c1_1(X0) )
    | ~ spl0_20
    | ~ spl0_66 ),
    inference(resolution,[],[f504,f297]) ).

fof(f1172,plain,
    ( ~ spl0_68
    | spl0_106
    | ~ spl0_66
    | ~ spl0_152 ),
    inference(avatar_split_clause,[],[f1162,f980,f503,f703,f511]) ).

fof(f1162,plain,
    ( c0_1(a744)
    | ~ c3_1(a744)
    | ~ spl0_66
    | ~ spl0_152 ),
    inference(resolution,[],[f504,f982]) ).

fof(f1142,plain,
    ( spl0_93
    | ~ spl0_161
    | ~ spl0_32
    | ~ spl0_60 ),
    inference(avatar_split_clause,[],[f1128,f475,f349,f1089,f635]) ).

fof(f475,plain,
    ( spl0_60
  <=> ! [X4] :
        ( ~ c1_1(X4)
        | ~ c2_1(X4)
        | c0_1(X4) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_60])]) ).

fof(f1128,plain,
    ( ~ c1_1(a735)
    | c0_1(a735)
    | ~ spl0_32
    | ~ spl0_60 ),
    inference(resolution,[],[f476,f351]) ).

fof(f476,plain,
    ( ! [X4] :
        ( ~ c2_1(X4)
        | ~ c1_1(X4)
        | c0_1(X4) )
    | ~ spl0_60 ),
    inference(avatar_component_clause,[],[f475]) ).

fof(f1139,plain,
    ( spl0_142
    | ~ spl0_157
    | ~ spl0_60
    | ~ spl0_123 ),
    inference(avatar_split_clause,[],[f1131,f804,f475,f1029,f912]) ).

fof(f1131,plain,
    ( ~ c1_1(a777)
    | c0_1(a777)
    | ~ spl0_60
    | ~ spl0_123 ),
    inference(resolution,[],[f476,f806]) ).

fof(f1080,plain,
    ( spl0_108
    | spl0_160
    | ~ spl0_45
    | ~ spl0_117 ),
    inference(avatar_split_clause,[],[f1075,f767,f410,f1077,f713]) ).

fof(f767,plain,
    ( spl0_117
  <=> c2_1(a764) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_117])]) ).

fof(f1075,plain,
    ( c1_1(a764)
    | c3_1(a764)
    | ~ spl0_45
    | ~ spl0_117 ),
    inference(resolution,[],[f769,f411]) ).

fof(f769,plain,
    ( c2_1(a764)
    | ~ spl0_117 ),
    inference(avatar_component_clause,[],[f767]) ).

fof(f1043,plain,
    ( spl0_118
    | spl0_145
    | ~ spl0_9
    | ~ spl0_155 ),
    inference(avatar_split_clause,[],[f1041,f1005,f249,f929,f772]) ).

fof(f1041,plain,
    ( c2_1(a746)
    | c0_1(a746)
    | ~ spl0_9
    | ~ spl0_155 ),
    inference(resolution,[],[f1007,f250]) ).

fof(f1040,plain,
    ( spl0_83
    | spl0_90
    | ~ spl0_9
    | ~ spl0_154 ),
    inference(avatar_split_clause,[],[f1037,f1000,f249,f619,f585]) ).

fof(f585,plain,
    ( spl0_83
  <=> c2_1(a732) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_83])]) ).

fof(f619,plain,
    ( spl0_90
  <=> c0_1(a732) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_90])]) ).

fof(f1000,plain,
    ( spl0_154
  <=> c1_1(a732) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_154])]) ).

fof(f1037,plain,
    ( c0_1(a732)
    | c2_1(a732)
    | ~ spl0_9
    | ~ spl0_154 ),
    inference(resolution,[],[f1002,f250]) ).

fof(f1002,plain,
    ( c1_1(a732)
    | ~ spl0_154 ),
    inference(avatar_component_clause,[],[f1000]) ).

fof(f1032,plain,
    ( spl0_10
    | spl0_157
    | ~ spl0_45
    | ~ spl0_123 ),
    inference(avatar_split_clause,[],[f1022,f804,f410,f1029,f253]) ).

fof(f1022,plain,
    ( c1_1(a777)
    | c3_1(a777)
    | ~ spl0_45
    | ~ spl0_123 ),
    inference(resolution,[],[f411,f806]) ).

fof(f1003,plain,
    ( spl0_90
    | spl0_154
    | ~ spl0_20
    | spl0_83 ),
    inference(avatar_split_clause,[],[f992,f585,f296,f1000,f619]) ).

fof(f992,plain,
    ( c1_1(a732)
    | c0_1(a732)
    | ~ spl0_20
    | spl0_83 ),
    inference(resolution,[],[f297,f587]) ).

fof(f587,plain,
    ( ~ c2_1(a732)
    | spl0_83 ),
    inference(avatar_component_clause,[],[f585]) ).

fof(f983,plain,
    ( spl0_152
    | spl0_106
    | ~ spl0_17
    | ~ spl0_68 ),
    inference(avatar_split_clause,[],[f976,f511,f284,f703,f980]) ).

fof(f976,plain,
    ( c0_1(a744)
    | c2_1(a744)
    | ~ spl0_17
    | ~ spl0_68 ),
    inference(resolution,[],[f285,f513]) ).

fof(f944,plain,
    ( ~ spl0_67
    | spl0_1 ),
    inference(avatar_split_clause,[],[f66,f215,f507]) ).

fof(f507,plain,
    ( spl0_67
  <=> hskp8 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_67])]) ).

fof(f215,plain,
    ( spl0_1
  <=> ndr1_0 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_1])]) ).

fof(f66,plain,
    ( ndr1_0
    | ~ hskp8 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f7,plain,
    ( ( ~ hskp3
      | ( c2_1(a733)
        & ndr1_0
        & ~ c0_1(a733)
        & ~ c1_1(a733) ) )
    & ( ( c1_1(a784)
        & c0_1(a784)
        & ndr1_0
        & c3_1(a784) )
      | ~ hskp28 )
    & ( ! [X0] :
          ( c2_1(X0)
          | c3_1(X0)
          | ~ c1_1(X0)
          | ~ ndr1_0 )
      | ! [X1] :
          ( ~ c2_1(X1)
          | c3_1(X1)
          | c0_1(X1)
          | ~ ndr1_0 )
      | hskp14 )
    & ( ! [X2] :
          ( ~ c1_1(X2)
          | c0_1(X2)
          | c2_1(X2)
          | ~ ndr1_0 )
      | hskp27
      | ! [X3] :
          ( ~ c2_1(X3)
          | ~ c1_1(X3)
          | ~ c0_1(X3)
          | ~ ndr1_0 ) )
    & ( ( c3_1(a731)
        & ~ c2_1(a731)
        & ndr1_0
        & c1_1(a731) )
      | ~ hskp1 )
    & ( hskp27
      | hskp17
      | ! [X4] :
          ( c0_1(X4)
          | ~ c1_1(X4)
          | ~ c2_1(X4)
          | ~ ndr1_0 ) )
    & ( hskp27
      | ! [X5] :
          ( ~ ndr1_0
          | c1_1(X5)
          | ~ c3_1(X5)
          | ~ c0_1(X5) )
      | hskp19 )
    & ( ! [X6] :
          ( ~ c3_1(X6)
          | ~ c0_1(X6)
          | ~ ndr1_0
          | ~ c2_1(X6) )
      | hskp16
      | ! [X7] :
          ( ~ c1_1(X7)
          | ~ ndr1_0
          | ~ c2_1(X7)
          | c0_1(X7) ) )
    & ( ~ hskp11
      | ( ~ c0_1(a749)
        & ndr1_0
        & ~ c1_1(a749)
        & ~ c3_1(a749) ) )
    & ( ( c0_1(a793)
        & c3_1(a793)
        & ndr1_0
        & ~ c2_1(a793) )
      | ~ hskp21 )
    & ( hskp0
      | hskp8 )
    & ( hskp19
      | hskp5
      | hskp0 )
    & ( hskp6
      | hskp25
      | ! [X8] :
          ( ~ c3_1(X8)
          | c2_1(X8)
          | c0_1(X8)
          | ~ ndr1_0 ) )
    & ( ! [X9] :
          ( ~ c0_1(X9)
          | c3_1(X9)
          | ~ ndr1_0
          | c2_1(X9) )
      | hskp25
      | hskp9 )
    & ( hskp23
      | hskp17
      | hskp21 )
    & ( ~ hskp13
      | ( ndr1_0
        & c2_1(a759)
        & ~ c1_1(a759)
        & c3_1(a759) ) )
    & ( ( c3_1(a735)
        & ~ c0_1(a735)
        & ndr1_0
        & c2_1(a735) )
      | ~ hskp5 )
    & ( ! [X10] :
          ( ~ ndr1_0
          | ~ c0_1(X10)
          | ~ c1_1(X10)
          | c2_1(X10) )
      | hskp13
      | ! [X11] :
          ( ~ ndr1_0
          | c3_1(X11)
          | ~ c1_1(X11)
          | c0_1(X11) ) )
    & ( ! [X12] :
          ( ~ ndr1_0
          | c2_1(X12)
          | ~ c3_1(X12)
          | ~ c1_1(X12) )
      | ! [X13] :
          ( c2_1(X13)
          | ~ ndr1_0
          | ~ c3_1(X13)
          | c1_1(X13) )
      | hskp11 )
    & ( ! [X14] :
          ( c0_1(X14)
          | c3_1(X14)
          | c1_1(X14)
          | ~ ndr1_0 )
      | ! [X15] :
          ( c2_1(X15)
          | ~ c1_1(X15)
          | ~ c0_1(X15)
          | ~ ndr1_0 )
      | ! [X16] :
          ( ~ c3_1(X16)
          | ~ c0_1(X16)
          | ~ c1_1(X16)
          | ~ ndr1_0 ) )
    & ( ! [X17] :
          ( c2_1(X17)
          | ~ ndr1_0
          | ~ c3_1(X17)
          | c0_1(X17) )
      | ! [X18] :
          ( c3_1(X18)
          | c1_1(X18)
          | c0_1(X18)
          | ~ ndr1_0 )
      | hskp2 )
    & ( ~ hskp27
      | ( c0_1(a750)
        & c2_1(a750)
        & c1_1(a750)
        & ndr1_0 ) )
    & ( hskp5
      | ! [X19] :
          ( c1_1(X19)
          | ~ c0_1(X19)
          | ~ ndr1_0
          | c3_1(X19) )
      | hskp27 )
    & ( ( ~ c2_1(a775)
        & c1_1(a775)
        & ndr1_0
        & ~ c3_1(a775) )
      | ~ hskp18 )
    & ( ! [X20] :
          ( ~ c2_1(X20)
          | ~ ndr1_0
          | ~ c1_1(X20)
          | ~ c0_1(X20) )
      | ! [X21] :
          ( c1_1(X21)
          | c0_1(X21)
          | ~ ndr1_0
          | c2_1(X21) )
      | ! [X22] :
          ( ~ c2_1(X22)
          | ~ ndr1_0
          | c0_1(X22)
          | c3_1(X22) ) )
    & ( ! [X23] :
          ( c0_1(X23)
          | ~ c3_1(X23)
          | c1_1(X23)
          | ~ ndr1_0 )
      | ! [X24] :
          ( ~ ndr1_0
          | ~ c2_1(X24)
          | ~ c0_1(X24)
          | c1_1(X24) )
      | hskp4 )
    & ( ( ndr1_0
        & c2_1(a777)
        & ~ c3_1(a777)
        & ~ c0_1(a777) )
      | ~ hskp19 )
    & ( ! [X25] :
          ( c2_1(X25)
          | c0_1(X25)
          | ~ ndr1_0
          | ~ c3_1(X25) )
      | ! [X26] :
          ( c2_1(X26)
          | ~ c0_1(X26)
          | ~ c1_1(X26)
          | ~ ndr1_0 )
      | ! [X27] :
          ( ~ c2_1(X27)
          | c3_1(X27)
          | ~ ndr1_0
          | c1_1(X27) ) )
    & ( ( ndr1_0
        & c0_1(a729)
        & c2_1(a729)
        & c3_1(a729) )
      | ~ hskp25 )
    & ( ! [X28] :
          ( c2_1(X28)
          | ~ ndr1_0
          | c3_1(X28)
          | ~ c0_1(X28) )
      | ! [X29] :
          ( ~ c2_1(X29)
          | c3_1(X29)
          | c1_1(X29)
          | ~ ndr1_0 )
      | ! [X30] :
          ( ~ c0_1(X30)
          | ~ c1_1(X30)
          | ~ ndr1_0
          | ~ c2_1(X30) ) )
    & ( ~ hskp15
      | ( ~ c2_1(a763)
        & c0_1(a763)
        & ndr1_0
        & c1_1(a763) ) )
    & ( ! [X31] :
          ( c0_1(X31)
          | ~ ndr1_0
          | c2_1(X31)
          | c3_1(X31) )
      | hskp9
      | hskp5 )
    & ( ! [X32] :
          ( c2_1(X32)
          | ~ ndr1_0
          | ~ c1_1(X32)
          | c0_1(X32) )
      | ! [X33] :
          ( c2_1(X33)
          | ~ c3_1(X33)
          | ~ c1_1(X33)
          | ~ ndr1_0 )
      | hskp11 )
    & ( hskp1
      | ! [X34] :
          ( ~ ndr1_0
          | c0_1(X34)
          | c2_1(X34)
          | c1_1(X34) )
      | hskp0 )
    & ( hskp0
      | ! [X35] :
          ( c0_1(X35)
          | c1_1(X35)
          | ~ ndr1_0
          | ~ c2_1(X35) )
      | ! [X36] :
          ( ~ ndr1_0
          | c0_1(X36)
          | ~ c1_1(X36)
          | c2_1(X36) ) )
    & ( ! [X37] :
          ( ~ c3_1(X37)
          | c1_1(X37)
          | ~ c2_1(X37)
          | ~ ndr1_0 )
      | hskp20
      | hskp5 )
    & ( ! [X38] :
          ( ~ c0_1(X38)
          | c3_1(X38)
          | ~ c1_1(X38)
          | ~ ndr1_0 )
      | hskp1
      | ! [X39] :
          ( ~ c0_1(X39)
          | ~ c2_1(X39)
          | ~ c3_1(X39)
          | ~ ndr1_0 ) )
    & ( hskp25
      | ! [X40] :
          ( ~ c0_1(X40)
          | c1_1(X40)
          | ~ c3_1(X40)
          | ~ ndr1_0 )
      | ! [X41] :
          ( c0_1(X41)
          | ~ ndr1_0
          | c1_1(X41)
          | c2_1(X41) ) )
    & ( ! [X42] :
          ( c3_1(X42)
          | c0_1(X42)
          | ~ ndr1_0
          | ~ c2_1(X42) )
      | ! [X43] :
          ( ~ ndr1_0
          | ~ c1_1(X43)
          | ~ c3_1(X43)
          | ~ c0_1(X43) )
      | hskp15 )
    & ( hskp1
      | hskp4
      | hskp7 )
    & ( ! [X44] :
          ( ~ ndr1_0
          | c2_1(X44)
          | c1_1(X44)
          | ~ c0_1(X44) )
      | ! [X45] :
          ( ~ ndr1_0
          | c0_1(X45)
          | ~ c1_1(X45)
          | ~ c3_1(X45) )
      | hskp2 )
    & ( ! [X46] :
          ( c0_1(X46)
          | ~ ndr1_0
          | ~ c2_1(X46)
          | ~ c3_1(X46) )
      | ! [X47] :
          ( ~ ndr1_0
          | c2_1(X47)
          | ~ c3_1(X47)
          | ~ c0_1(X47) )
      | ! [X48] :
          ( ~ c2_1(X48)
          | ~ ndr1_0
          | ~ c3_1(X48)
          | c1_1(X48) ) )
    & ( hskp16
      | hskp22
      | ! [X49] :
          ( ~ ndr1_0
          | ~ c1_1(X49)
          | ~ c3_1(X49)
          | ~ c2_1(X49) ) )
    & ( ! [X50] :
          ( c3_1(X50)
          | ~ c1_1(X50)
          | ~ ndr1_0
          | ~ c2_1(X50) )
      | hskp11
      | hskp27 )
    & ( hskp19
      | hskp18
      | hskp16 )
    & ( ! [X51] :
          ( ~ ndr1_0
          | c3_1(X51)
          | c1_1(X51)
          | c0_1(X51) )
      | hskp4
      | hskp5 )
    & ( hskp9
      | ! [X52] :
          ( c0_1(X52)
          | c3_1(X52)
          | ~ ndr1_0
          | ~ c1_1(X52) )
      | hskp0 )
    & ( hskp5
      | ! [X53] :
          ( ~ ndr1_0
          | c0_1(X53)
          | c3_1(X53)
          | ~ c1_1(X53) )
      | ! [X54] :
          ( ~ ndr1_0
          | c0_1(X54)
          | ~ c1_1(X54)
          | c2_1(X54) ) )
    & ( ( ndr1_0
        & ~ c1_1(a748)
        & ~ c0_1(a748)
        & ~ c2_1(a748) )
      | ~ hskp10 )
    & ( ! [X55] :
          ( ~ c3_1(X55)
          | c2_1(X55)
          | ~ ndr1_0
          | c0_1(X55) )
      | hskp6
      | hskp4 )
    & ( ! [X56] :
          ( ~ ndr1_0
          | ~ c3_1(X56)
          | c2_1(X56)
          | c0_1(X56) )
      | hskp27
      | hskp11 )
    & ( ! [X57] :
          ( c0_1(X57)
          | ~ c2_1(X57)
          | c1_1(X57)
          | ~ ndr1_0 )
      | hskp26
      | hskp6 )
    & ( ! [X58] :
          ( ~ c3_1(X58)
          | ~ ndr1_0
          | c0_1(X58)
          | ~ c2_1(X58) )
      | ! [X59] :
          ( ~ ndr1_0
          | c0_1(X59)
          | c2_1(X59)
          | ~ c1_1(X59) )
      | hskp10 )
    & ( hskp2
      | ! [X60] :
          ( ~ ndr1_0
          | c1_1(X60)
          | c0_1(X60)
          | ~ c2_1(X60) )
      | hskp1 )
    & ( ! [X61] :
          ( c2_1(X61)
          | ~ c1_1(X61)
          | ~ ndr1_0
          | c3_1(X61) )
      | hskp28
      | hskp16 )
    & ( ~ hskp26
      | ( c1_1(a737)
        & c2_1(a737)
        & c3_1(a737)
        & ndr1_0 ) )
    & ( ! [X62] :
          ( ~ ndr1_0
          | ~ c3_1(X62)
          | ~ c1_1(X62)
          | c2_1(X62) )
      | ! [X63] :
          ( ~ c2_1(X63)
          | c3_1(X63)
          | ~ ndr1_0
          | c1_1(X63) )
      | hskp18 )
    & ( ~ hskp12
      | ( c2_1(a755)
        & ~ c3_1(a755)
        & c1_1(a755)
        & ndr1_0 ) )
    & ( hskp23
      | hskp24
      | hskp13 )
    & ( ~ hskp2
      | ( ~ c2_1(a732)
        & ndr1_0
        & ~ c3_1(a732)
        & ~ c0_1(a732) ) )
    & ( hskp28
      | ! [X64] :
          ( ~ c2_1(X64)
          | ~ ndr1_0
          | ~ c3_1(X64)
          | ~ c0_1(X64) )
      | hskp11 )
    & ( ( c3_1(a741)
        & c1_1(a741)
        & ~ c0_1(a741)
        & ndr1_0 )
      | ~ hskp7 )
    & ( ! [X65] :
          ( ~ ndr1_0
          | c0_1(X65)
          | c1_1(X65)
          | ~ c3_1(X65) )
      | ! [X66] :
          ( ~ ndr1_0
          | ~ c0_1(X66)
          | ~ c1_1(X66)
          | c2_1(X66) )
      | ! [X67] :
          ( c3_1(X67)
          | ~ c0_1(X67)
          | c1_1(X67)
          | ~ ndr1_0 ) )
    & ( hskp8
      | ! [X68] :
          ( c3_1(X68)
          | ~ c2_1(X68)
          | c0_1(X68)
          | ~ ndr1_0 )
      | ! [X69] :
          ( c2_1(X69)
          | c0_1(X69)
          | c3_1(X69)
          | ~ ndr1_0 ) )
    & ( ! [X70] :
          ( ~ ndr1_0
          | ~ c2_1(X70)
          | ~ c3_1(X70)
          | ~ c0_1(X70) )
      | hskp21 )
    & ( ~ hskp8
      | ( ~ c1_1(a744)
        & ndr1_0
        & ~ c0_1(a744)
        & c3_1(a744) ) )
    & ( ( ~ c2_1(a802)
        & ~ c3_1(a802)
        & c0_1(a802)
        & ndr1_0 )
      | ~ hskp24 )
    & ( hskp5
      | ! [X71] :
          ( ~ ndr1_0
          | c1_1(X71)
          | c0_1(X71)
          | ~ c3_1(X71) )
      | ! [X72] :
          ( ~ c1_1(X72)
          | ~ c2_1(X72)
          | c0_1(X72)
          | ~ ndr1_0 ) )
    & ( hskp5
      | ! [X73] :
          ( c1_1(X73)
          | c3_1(X73)
          | ~ ndr1_0
          | ~ c2_1(X73) )
      | ! [X74] :
          ( ~ c0_1(X74)
          | ~ c3_1(X74)
          | ~ ndr1_0
          | c1_1(X74) ) )
    & ( ! [X75] :
          ( c2_1(X75)
          | c0_1(X75)
          | ~ c3_1(X75)
          | ~ ndr1_0 )
      | hskp7
      | hskp12 )
    & ( ~ hskp22
      | ( ~ c2_1(a797)
        & ~ c1_1(a797)
        & c3_1(a797)
        & ndr1_0 ) )
    & ( ! [X76] :
          ( ~ c1_1(X76)
          | ~ c3_1(X76)
          | ~ ndr1_0
          | ~ c2_1(X76) )
      | ! [X77] :
          ( c2_1(X77)
          | ~ ndr1_0
          | ~ c3_1(X77)
          | c0_1(X77) )
      | ! [X78] :
          ( c0_1(X78)
          | ~ ndr1_0
          | ~ c3_1(X78)
          | ~ c2_1(X78) ) )
    & ( hskp0
      | hskp27
      | ! [X79] :
          ( c2_1(X79)
          | c3_1(X79)
          | ~ ndr1_0
          | c1_1(X79) ) )
    & ( ( c1_1(a798)
        & ~ c3_1(a798)
        & c0_1(a798)
        & ndr1_0 )
      | ~ hskp23 )
    & ( ~ hskp16
      | ( c2_1(a764)
        & c0_1(a764)
        & ndr1_0
        & ~ c3_1(a764) ) )
    & ( ! [X80] :
          ( c0_1(X80)
          | c1_1(X80)
          | ~ c3_1(X80)
          | ~ ndr1_0 )
      | ! [X81] :
          ( ~ ndr1_0
          | ~ c2_1(X81)
          | c3_1(X81)
          | c0_1(X81) )
      | hskp7 )
    & ( hskp18
      | hskp11
      | ! [X82] :
          ( ~ c1_1(X82)
          | c3_1(X82)
          | ~ c0_1(X82)
          | ~ ndr1_0 ) )
    & ( ! [X83] :
          ( c2_1(X83)
          | ~ c1_1(X83)
          | ~ ndr1_0
          | c3_1(X83) )
      | hskp3
      | ! [X84] :
          ( c3_1(X84)
          | ~ ndr1_0
          | c1_1(X84)
          | c0_1(X84) ) )
    & ( hskp21
      | hskp2
      | ! [X85] :
          ( ~ c3_1(X85)
          | ~ c0_1(X85)
          | ~ c2_1(X85)
          | ~ ndr1_0 ) )
    & ( ~ hskp17
      | ( ndr1_0
        & ~ c0_1(a766)
        & c1_1(a766)
        & c2_1(a766) ) )
    & ( ~ hskp20
      | ( ndr1_0
        & ~ c3_1(a779)
        & c2_1(a779)
        & ~ c1_1(a779) ) )
    & ( ~ hskp14
      | ( c0_1(a762)
        & ~ c2_1(a762)
        & ~ c1_1(a762)
        & ndr1_0 ) )
    & ( ( ~ c0_1(a734)
        & ndr1_0
        & c1_1(a734)
        & ~ c3_1(a734) )
      | ~ hskp4 )
    & ( hskp3
      | hskp16
      | ! [X86] :
          ( c3_1(X86)
          | ~ c0_1(X86)
          | ~ ndr1_0
          | c2_1(X86) ) )
    & ( ! [X87] :
          ( ~ ndr1_0
          | ~ c1_1(X87)
          | c2_1(X87)
          | ~ c3_1(X87) )
      | ! [X88] :
          ( ~ ndr1_0
          | c0_1(X88)
          | ~ c3_1(X88)
          | ~ c1_1(X88) )
      | ! [X89] :
          ( c0_1(X89)
          | ~ c3_1(X89)
          | c2_1(X89)
          | ~ ndr1_0 ) )
    & ( ! [X90] :
          ( ~ c0_1(X90)
          | c2_1(X90)
          | c1_1(X90)
          | ~ ndr1_0 )
      | ! [X91] :
          ( ~ c0_1(X91)
          | ~ c3_1(X91)
          | ~ ndr1_0
          | ~ c2_1(X91) )
      | hskp26 )
    & ( ( c3_1(a746)
        & ~ c2_1(a746)
        & ndr1_0
        & ~ c0_1(a746) )
      | ~ hskp9 )
    & ( ~ hskp0
      | ( c0_1(a730)
        & ~ c1_1(a730)
        & ndr1_0
        & c3_1(a730) ) )
    & ( ~ hskp6
      | ( c1_1(a738)
        & ~ c2_1(a738)
        & ndr1_0
        & ~ c0_1(a738) ) ) ),
    inference(rectify,[],[f6]) ).

fof(f6,plain,
    ( ( ~ hskp3
      | ( c2_1(a733)
        & ndr1_0
        & ~ c0_1(a733)
        & ~ c1_1(a733) ) )
    & ( ( c1_1(a784)
        & c0_1(a784)
        & ndr1_0
        & c3_1(a784) )
      | ~ hskp28 )
    & ( ! [X16] :
          ( c2_1(X16)
          | c3_1(X16)
          | ~ c1_1(X16)
          | ~ ndr1_0 )
      | ! [X17] :
          ( ~ c2_1(X17)
          | c3_1(X17)
          | c0_1(X17)
          | ~ ndr1_0 )
      | hskp14 )
    & ( ! [X79] :
          ( ~ c1_1(X79)
          | c0_1(X79)
          | c2_1(X79)
          | ~ ndr1_0 )
      | hskp27
      | ! [X78] :
          ( ~ c2_1(X78)
          | ~ c1_1(X78)
          | ~ c0_1(X78)
          | ~ ndr1_0 ) )
    & ( ( c3_1(a731)
        & ~ c2_1(a731)
        & ndr1_0
        & c1_1(a731) )
      | ~ hskp1 )
    & ( hskp27
      | hskp17
      | ! [X18] :
          ( c0_1(X18)
          | ~ c1_1(X18)
          | ~ c2_1(X18)
          | ~ ndr1_0 ) )
    & ( hskp27
      | ! [X26] :
          ( ~ ndr1_0
          | c1_1(X26)
          | ~ c3_1(X26)
          | ~ c0_1(X26) )
      | hskp19 )
    & ( ! [X71] :
          ( ~ c3_1(X71)
          | ~ c0_1(X71)
          | ~ ndr1_0
          | ~ c2_1(X71) )
      | hskp16
      | ! [X72] :
          ( ~ c1_1(X72)
          | ~ ndr1_0
          | ~ c2_1(X72)
          | c0_1(X72) ) )
    & ( ~ hskp11
      | ( ~ c0_1(a749)
        & ndr1_0
        & ~ c1_1(a749)
        & ~ c3_1(a749) ) )
    & ( ( c0_1(a793)
        & c3_1(a793)
        & ndr1_0
        & ~ c2_1(a793) )
      | ~ hskp21 )
    & ( hskp0
      | hskp8 )
    & ( hskp19
      | hskp5
      | hskp0 )
    & ( hskp6
      | hskp25
      | ! [X70] :
          ( ~ c3_1(X70)
          | c2_1(X70)
          | c0_1(X70)
          | ~ ndr1_0 ) )
    & ( ! [X59] :
          ( ~ c0_1(X59)
          | c3_1(X59)
          | ~ ndr1_0
          | c2_1(X59) )
      | hskp25
      | hskp9 )
    & ( hskp23
      | hskp17
      | hskp21 )
    & ( ~ hskp13
      | ( ndr1_0
        & c2_1(a759)
        & ~ c1_1(a759)
        & c3_1(a759) ) )
    & ( ( c3_1(a735)
        & ~ c0_1(a735)
        & ndr1_0
        & c2_1(a735) )
      | ~ hskp5 )
    & ( ! [X38] :
          ( ~ ndr1_0
          | ~ c0_1(X38)
          | ~ c1_1(X38)
          | c2_1(X38) )
      | hskp13
      | ! [X37] :
          ( ~ ndr1_0
          | c3_1(X37)
          | ~ c1_1(X37)
          | c0_1(X37) ) )
    & ( ! [X45] :
          ( ~ ndr1_0
          | c2_1(X45)
          | ~ c3_1(X45)
          | ~ c1_1(X45) )
      | ! [X46] :
          ( c2_1(X46)
          | ~ ndr1_0
          | ~ c3_1(X46)
          | c1_1(X46) )
      | hskp11 )
    & ( ! [X34] :
          ( c0_1(X34)
          | c3_1(X34)
          | c1_1(X34)
          | ~ ndr1_0 )
      | ! [X36] :
          ( c2_1(X36)
          | ~ c1_1(X36)
          | ~ c0_1(X36)
          | ~ ndr1_0 )
      | ! [X35] :
          ( ~ c3_1(X35)
          | ~ c0_1(X35)
          | ~ c1_1(X35)
          | ~ ndr1_0 ) )
    & ( ! [X68] :
          ( c2_1(X68)
          | ~ ndr1_0
          | ~ c3_1(X68)
          | c0_1(X68) )
      | ! [X67] :
          ( c3_1(X67)
          | c1_1(X67)
          | c0_1(X67)
          | ~ ndr1_0 )
      | hskp2 )
    & ( ~ hskp27
      | ( c0_1(a750)
        & c2_1(a750)
        & c1_1(a750)
        & ndr1_0 ) )
    & ( hskp5
      | ! [X66] :
          ( c1_1(X66)
          | ~ c0_1(X66)
          | ~ ndr1_0
          | c3_1(X66) )
      | hskp27 )
    & ( ( ~ c2_1(a775)
        & c1_1(a775)
        & ndr1_0
        & ~ c3_1(a775) )
      | ~ hskp18 )
    & ( ! [X74] :
          ( ~ c2_1(X74)
          | ~ ndr1_0
          | ~ c1_1(X74)
          | ~ c0_1(X74) )
      | ! [X73] :
          ( c1_1(X73)
          | c0_1(X73)
          | ~ ndr1_0
          | c2_1(X73) )
      | ! [X75] :
          ( ~ c2_1(X75)
          | ~ ndr1_0
          | c0_1(X75)
          | c3_1(X75) ) )
    & ( ! [X47] :
          ( c0_1(X47)
          | ~ c3_1(X47)
          | c1_1(X47)
          | ~ ndr1_0 )
      | ! [X48] :
          ( ~ ndr1_0
          | ~ c2_1(X48)
          | ~ c0_1(X48)
          | c1_1(X48) )
      | hskp4 )
    & ( ( ndr1_0
        & c2_1(a777)
        & ~ c3_1(a777)
        & ~ c0_1(a777) )
      | ~ hskp19 )
    & ( ! [X49] :
          ( c2_1(X49)
          | c0_1(X49)
          | ~ ndr1_0
          | ~ c3_1(X49) )
      | ! [X50] :
          ( c2_1(X50)
          | ~ c0_1(X50)
          | ~ c1_1(X50)
          | ~ ndr1_0 )
      | ! [X51] :
          ( ~ c2_1(X51)
          | c3_1(X51)
          | ~ ndr1_0
          | c1_1(X51) ) )
    & ( ( ndr1_0
        & c0_1(a729)
        & c2_1(a729)
        & c3_1(a729) )
      | ~ hskp25 )
    & ( ! [X5] :
          ( c2_1(X5)
          | ~ ndr1_0
          | c3_1(X5)
          | ~ c0_1(X5) )
      | ! [X6] :
          ( ~ c2_1(X6)
          | c3_1(X6)
          | c1_1(X6)
          | ~ ndr1_0 )
      | ! [X4] :
          ( ~ c0_1(X4)
          | ~ c1_1(X4)
          | ~ ndr1_0
          | ~ c2_1(X4) ) )
    & ( ~ hskp15
      | ( ~ c2_1(a763)
        & c0_1(a763)
        & ndr1_0
        & c1_1(a763) ) )
    & ( ! [X77] :
          ( c0_1(X77)
          | ~ ndr1_0
          | c2_1(X77)
          | c3_1(X77) )
      | hskp9
      | hskp5 )
    & ( ! [X7] :
          ( c2_1(X7)
          | ~ ndr1_0
          | ~ c1_1(X7)
          | c0_1(X7) )
      | ! [X8] :
          ( c2_1(X8)
          | ~ c3_1(X8)
          | ~ c1_1(X8)
          | ~ ndr1_0 )
      | hskp11 )
    & ( hskp1
      | ! [X0] :
          ( ~ ndr1_0
          | c0_1(X0)
          | c2_1(X0)
          | c1_1(X0) )
      | hskp0 )
    & ( hskp0
      | ! [X23] :
          ( c0_1(X23)
          | c1_1(X23)
          | ~ ndr1_0
          | ~ c2_1(X23) )
      | ! [X24] :
          ( ~ ndr1_0
          | c0_1(X24)
          | ~ c1_1(X24)
          | c2_1(X24) ) )
    & ( ! [X76] :
          ( ~ c3_1(X76)
          | c1_1(X76)
          | ~ c2_1(X76)
          | ~ ndr1_0 )
      | hskp20
      | hskp5 )
    & ( ! [X42] :
          ( ~ c0_1(X42)
          | c3_1(X42)
          | ~ c1_1(X42)
          | ~ ndr1_0 )
      | hskp1
      | ! [X41] :
          ( ~ c0_1(X41)
          | ~ c2_1(X41)
          | ~ c3_1(X41)
          | ~ ndr1_0 ) )
    & ( hskp25
      | ! [X28] :
          ( ~ c0_1(X28)
          | c1_1(X28)
          | ~ c3_1(X28)
          | ~ ndr1_0 )
      | ! [X27] :
          ( c0_1(X27)
          | ~ ndr1_0
          | c1_1(X27)
          | c2_1(X27) ) )
    & ( ! [X83] :
          ( c3_1(X83)
          | c0_1(X83)
          | ~ ndr1_0
          | ~ c2_1(X83) )
      | ! [X84] :
          ( ~ ndr1_0
          | ~ c1_1(X84)
          | ~ c3_1(X84)
          | ~ c0_1(X84) )
      | hskp15 )
    & ( hskp1
      | hskp4
      | hskp7 )
    & ( ! [X12] :
          ( ~ ndr1_0
          | c2_1(X12)
          | c1_1(X12)
          | ~ c0_1(X12) )
      | ! [X11] :
          ( ~ ndr1_0
          | c0_1(X11)
          | ~ c1_1(X11)
          | ~ c3_1(X11) )
      | hskp2 )
    & ( ! [X29] :
          ( c0_1(X29)
          | ~ ndr1_0
          | ~ c2_1(X29)
          | ~ c3_1(X29) )
      | ! [X31] :
          ( ~ ndr1_0
          | c2_1(X31)
          | ~ c3_1(X31)
          | ~ c0_1(X31) )
      | ! [X30] :
          ( ~ c2_1(X30)
          | ~ ndr1_0
          | ~ c3_1(X30)
          | c1_1(X30) ) )
    & ( hskp16
      | hskp22
      | ! [X10] :
          ( ~ ndr1_0
          | ~ c1_1(X10)
          | ~ c3_1(X10)
          | ~ c2_1(X10) ) )
    & ( ! [X91] :
          ( c3_1(X91)
          | ~ c1_1(X91)
          | ~ ndr1_0
          | ~ c2_1(X91) )
      | hskp11
      | hskp27 )
    & ( hskp19
      | hskp18
      | hskp16 )
    & ( ! [X13] :
          ( ~ ndr1_0
          | c3_1(X13)
          | c1_1(X13)
          | c0_1(X13) )
      | hskp4
      | hskp5 )
    & ( hskp9
      | ! [X58] :
          ( c0_1(X58)
          | c3_1(X58)
          | ~ ndr1_0
          | ~ c1_1(X58) )
      | hskp0 )
    & ( hskp5
      | ! [X56] :
          ( ~ ndr1_0
          | c0_1(X56)
          | c3_1(X56)
          | ~ c1_1(X56) )
      | ! [X57] :
          ( ~ ndr1_0
          | c0_1(X57)
          | ~ c1_1(X57)
          | c2_1(X57) ) )
    & ( ( ndr1_0
        & ~ c1_1(a748)
        & ~ c0_1(a748)
        & ~ c2_1(a748) )
      | ~ hskp10 )
    & ( ! [X22] :
          ( ~ c3_1(X22)
          | c2_1(X22)
          | ~ ndr1_0
          | c0_1(X22) )
      | hskp6
      | hskp4 )
    & ( ! [X15] :
          ( ~ ndr1_0
          | ~ c3_1(X15)
          | c2_1(X15)
          | c0_1(X15) )
      | hskp27
      | hskp11 )
    & ( ! [X21] :
          ( c0_1(X21)
          | ~ c2_1(X21)
          | c1_1(X21)
          | ~ ndr1_0 )
      | hskp26
      | hskp6 )
    & ( ! [X53] :
          ( ~ c3_1(X53)
          | ~ ndr1_0
          | c0_1(X53)
          | ~ c2_1(X53) )
      | ! [X52] :
          ( ~ ndr1_0
          | c0_1(X52)
          | c2_1(X52)
          | ~ c1_1(X52) )
      | hskp10 )
    & ( hskp2
      | ! [X69] :
          ( ~ ndr1_0
          | c1_1(X69)
          | c0_1(X69)
          | ~ c2_1(X69) )
      | hskp1 )
    & ( ! [X90] :
          ( c2_1(X90)
          | ~ c1_1(X90)
          | ~ ndr1_0
          | c3_1(X90) )
      | hskp28
      | hskp16 )
    & ( ~ hskp26
      | ( c1_1(a737)
        & c2_1(a737)
        & c3_1(a737)
        & ndr1_0 ) )
    & ( ! [X43] :
          ( ~ ndr1_0
          | ~ c3_1(X43)
          | ~ c1_1(X43)
          | c2_1(X43) )
      | ! [X44] :
          ( ~ c2_1(X44)
          | c3_1(X44)
          | ~ ndr1_0
          | c1_1(X44) )
      | hskp18 )
    & ( ~ hskp12
      | ( c2_1(a755)
        & ~ c3_1(a755)
        & c1_1(a755)
        & ndr1_0 ) )
    & ( hskp23
      | hskp24
      | hskp13 )
    & ( ~ hskp2
      | ( ~ c2_1(a732)
        & ndr1_0
        & ~ c3_1(a732)
        & ~ c0_1(a732) ) )
    & ( hskp28
      | ! [X19] :
          ( ~ c2_1(X19)
          | ~ ndr1_0
          | ~ c3_1(X19)
          | ~ c0_1(X19) )
      | hskp11 )
    & ( ( c3_1(a741)
        & c1_1(a741)
        & ~ c0_1(a741)
        & ndr1_0 )
      | ~ hskp7 )
    & ( ! [X80] :
          ( ~ ndr1_0
          | c0_1(X80)
          | c1_1(X80)
          | ~ c3_1(X80) )
      | ! [X82] :
          ( ~ ndr1_0
          | ~ c0_1(X82)
          | ~ c1_1(X82)
          | c2_1(X82) )
      | ! [X81] :
          ( c3_1(X81)
          | ~ c0_1(X81)
          | c1_1(X81)
          | ~ ndr1_0 ) )
    & ( hskp8
      | ! [X61] :
          ( c3_1(X61)
          | ~ c2_1(X61)
          | c0_1(X61)
          | ~ ndr1_0 )
      | ! [X60] :
          ( c2_1(X60)
          | c0_1(X60)
          | c3_1(X60)
          | ~ ndr1_0 ) )
    & ( ! [X9] :
          ( ~ ndr1_0
          | ~ c2_1(X9)
          | ~ c3_1(X9)
          | ~ c0_1(X9) )
      | hskp21 )
    & ( ~ hskp8
      | ( ~ c1_1(a744)
        & ndr1_0
        & ~ c0_1(a744)
        & c3_1(a744) ) )
    & ( ( ~ c2_1(a802)
        & ~ c3_1(a802)
        & c0_1(a802)
        & ndr1_0 )
      | ~ hskp24 )
    & ( hskp5
      | ! [X55] :
          ( ~ ndr1_0
          | c1_1(X55)
          | c0_1(X55)
          | ~ c3_1(X55) )
      | ! [X54] :
          ( ~ c1_1(X54)
          | ~ c2_1(X54)
          | c0_1(X54)
          | ~ ndr1_0 ) )
    & ( hskp5
      | ! [X86] :
          ( c1_1(X86)
          | c3_1(X86)
          | ~ ndr1_0
          | ~ c2_1(X86) )
      | ! [X85] :
          ( ~ c0_1(X85)
          | ~ c3_1(X85)
          | ~ ndr1_0
          | c1_1(X85) ) )
    & ( ! [X20] :
          ( c2_1(X20)
          | c0_1(X20)
          | ~ c3_1(X20)
          | ~ ndr1_0 )
      | hskp7
      | hskp12 )
    & ( ~ hskp22
      | ( ~ c2_1(a797)
        & ~ c1_1(a797)
        & c3_1(a797)
        & ndr1_0 ) )
    & ( ! [X64] :
          ( ~ c1_1(X64)
          | ~ c3_1(X64)
          | ~ ndr1_0
          | ~ c2_1(X64) )
      | ! [X63] :
          ( c2_1(X63)
          | ~ ndr1_0
          | ~ c3_1(X63)
          | c0_1(X63) )
      | ! [X62] :
          ( c0_1(X62)
          | ~ ndr1_0
          | ~ c3_1(X62)
          | ~ c2_1(X62) ) )
    & ( hskp0
      | hskp27
      | ! [X89] :
          ( c2_1(X89)
          | c3_1(X89)
          | ~ ndr1_0
          | c1_1(X89) ) )
    & ( ( c1_1(a798)
        & ~ c3_1(a798)
        & c0_1(a798)
        & ndr1_0 )
      | ~ hskp23 )
    & ( ~ hskp16
      | ( c2_1(a764)
        & c0_1(a764)
        & ndr1_0
        & ~ c3_1(a764) ) )
    & ( ! [X33] :
          ( c0_1(X33)
          | c1_1(X33)
          | ~ c3_1(X33)
          | ~ ndr1_0 )
      | ! [X32] :
          ( ~ ndr1_0
          | ~ c2_1(X32)
          | c3_1(X32)
          | c0_1(X32) )
      | hskp7 )
    & ( hskp18
      | hskp11
      | ! [X14] :
          ( ~ c1_1(X14)
          | c3_1(X14)
          | ~ c0_1(X14)
          | ~ ndr1_0 ) )
    & ( ! [X87] :
          ( c2_1(X87)
          | ~ c1_1(X87)
          | ~ ndr1_0
          | c3_1(X87) )
      | hskp3
      | ! [X88] :
          ( c3_1(X88)
          | ~ ndr1_0
          | c1_1(X88)
          | c0_1(X88) ) )
    & ( hskp21
      | hskp2
      | ! [X25] :
          ( ~ c3_1(X25)
          | ~ c0_1(X25)
          | ~ c2_1(X25)
          | ~ ndr1_0 ) )
    & ( ~ hskp17
      | ( ndr1_0
        & ~ c0_1(a766)
        & c1_1(a766)
        & c2_1(a766) ) )
    & ( ~ hskp20
      | ( ndr1_0
        & ~ c3_1(a779)
        & c2_1(a779)
        & ~ c1_1(a779) ) )
    & ( ~ hskp14
      | ( c0_1(a762)
        & ~ c2_1(a762)
        & ~ c1_1(a762)
        & ndr1_0 ) )
    & ( ( ~ c0_1(a734)
        & ndr1_0
        & c1_1(a734)
        & ~ c3_1(a734) )
      | ~ hskp4 )
    & ( hskp3
      | hskp16
      | ! [X65] :
          ( c3_1(X65)
          | ~ c0_1(X65)
          | ~ ndr1_0
          | c2_1(X65) ) )
    & ( ! [X2] :
          ( ~ ndr1_0
          | ~ c1_1(X2)
          | c2_1(X2)
          | ~ c3_1(X2) )
      | ! [X1] :
          ( ~ ndr1_0
          | c0_1(X1)
          | ~ c3_1(X1)
          | ~ c1_1(X1) )
      | ! [X3] :
          ( c0_1(X3)
          | ~ c3_1(X3)
          | c2_1(X3)
          | ~ ndr1_0 ) )
    & ( ! [X40] :
          ( ~ c0_1(X40)
          | c2_1(X40)
          | c1_1(X40)
          | ~ ndr1_0 )
      | ! [X39] :
          ( ~ c0_1(X39)
          | ~ c3_1(X39)
          | ~ ndr1_0
          | ~ c2_1(X39) )
      | hskp26 )
    & ( ( c3_1(a746)
        & ~ c2_1(a746)
        & ndr1_0
        & ~ c0_1(a746) )
      | ~ hskp9 )
    & ( ~ hskp0
      | ( c0_1(a730)
        & ~ c1_1(a730)
        & ndr1_0
        & c3_1(a730) ) )
    & ( ~ hskp6
      | ( c1_1(a738)
        & ~ c2_1(a738)
        & ndr1_0
        & ~ c0_1(a738) ) ) ),
    inference(flattening,[],[f5]) ).

fof(f5,plain,
    ( ( hskp27
      | ! [X89] :
          ( c2_1(X89)
          | c3_1(X89)
          | c1_1(X89)
          | ~ ndr1_0 )
      | hskp0 )
    & ( ( ~ c0_1(a734)
        & ndr1_0
        & c1_1(a734)
        & ~ c3_1(a734) )
      | ~ hskp4 )
    & ( ~ hskp15
      | ( ~ c2_1(a763)
        & c0_1(a763)
        & ndr1_0
        & c1_1(a763) ) )
    & ( ! [X82] :
          ( ~ c0_1(X82)
          | c2_1(X82)
          | ~ c1_1(X82)
          | ~ ndr1_0 )
      | ! [X80] :
          ( ~ c3_1(X80)
          | c1_1(X80)
          | c0_1(X80)
          | ~ ndr1_0 )
      | ! [X81] :
          ( c1_1(X81)
          | ~ c0_1(X81)
          | c3_1(X81)
          | ~ ndr1_0 ) )
    & ( hskp9
      | hskp5
      | ! [X77] :
          ( c3_1(X77)
          | c0_1(X77)
          | c2_1(X77)
          | ~ ndr1_0 ) )
    & ( hskp25
      | ! [X59] :
          ( c3_1(X59)
          | c2_1(X59)
          | ~ c0_1(X59)
          | ~ ndr1_0 )
      | hskp9 )
    & ( hskp22
      | ! [X10] :
          ( ~ c3_1(X10)
          | ~ c2_1(X10)
          | ~ c1_1(X10)
          | ~ ndr1_0 )
      | hskp16 )
    & ( ! [X64] :
          ( ~ c3_1(X64)
          | ~ c1_1(X64)
          | ~ c2_1(X64)
          | ~ ndr1_0 )
      | ! [X62] :
          ( ~ c3_1(X62)
          | ~ c2_1(X62)
          | c0_1(X62)
          | ~ ndr1_0 )
      | ! [X63] :
          ( c0_1(X63)
          | ~ c3_1(X63)
          | c2_1(X63)
          | ~ ndr1_0 ) )
    & ( hskp5
      | ! [X54] :
          ( ~ c1_1(X54)
          | c0_1(X54)
          | ~ c2_1(X54)
          | ~ ndr1_0 )
      | ! [X55] :
          ( c1_1(X55)
          | ~ c3_1(X55)
          | c0_1(X55)
          | ~ ndr1_0 ) )
    & ( hskp6
      | hskp26
      | ! [X21] :
          ( ~ c2_1(X21)
          | c1_1(X21)
          | c0_1(X21)
          | ~ ndr1_0 ) )
    & ( ! [X87] :
          ( ~ c1_1(X87)
          | c3_1(X87)
          | c2_1(X87)
          | ~ ndr1_0 )
      | hskp3
      | ! [X88] :
          ( c1_1(X88)
          | c3_1(X88)
          | c0_1(X88)
          | ~ ndr1_0 ) )
    & ( ! [X0] :
          ( c1_1(X0)
          | c0_1(X0)
          | c2_1(X0)
          | ~ ndr1_0 )
      | hskp0
      | hskp1 )
    & ( ~ hskp6
      | ( c1_1(a738)
        & ~ c2_1(a738)
        & ndr1_0
        & ~ c0_1(a738) ) )
    & ( ! [X5] :
          ( ~ c0_1(X5)
          | c2_1(X5)
          | c3_1(X5)
          | ~ ndr1_0 )
      | ! [X6] :
          ( ~ c2_1(X6)
          | c3_1(X6)
          | c1_1(X6)
          | ~ ndr1_0 )
      | ! [X4] :
          ( ~ c1_1(X4)
          | ~ c2_1(X4)
          | ~ c0_1(X4)
          | ~ ndr1_0 ) )
    & ( ! [X9] :
          ( ~ c0_1(X9)
          | ~ c3_1(X9)
          | ~ c2_1(X9)
          | ~ ndr1_0 )
      | hskp21 )
    & ( ! [X14] :
          ( ~ c1_1(X14)
          | ~ c0_1(X14)
          | c3_1(X14)
          | ~ ndr1_0 )
      | hskp18
      | hskp11 )
    & ( ( ~ c2_1(a802)
        & ~ c3_1(a802)
        & c0_1(a802)
        & ndr1_0 )
      | ~ hskp24 )
    & ( ~ hskp8
      | ( ~ c1_1(a744)
        & ndr1_0
        & ~ c0_1(a744)
        & c3_1(a744) ) )
    & ( ! [X52] :
          ( c0_1(X52)
          | c2_1(X52)
          | ~ c1_1(X52)
          | ~ ndr1_0 )
      | ! [X53] :
          ( c0_1(X53)
          | ~ c2_1(X53)
          | ~ c3_1(X53)
          | ~ ndr1_0 )
      | hskp10 )
    & ( ! [X16] :
          ( c2_1(X16)
          | ~ c1_1(X16)
          | c3_1(X16)
          | ~ ndr1_0 )
      | ! [X17] :
          ( c3_1(X17)
          | c0_1(X17)
          | ~ c2_1(X17)
          | ~ ndr1_0 )
      | hskp14 )
    & ( ! [X73] :
          ( c1_1(X73)
          | c2_1(X73)
          | c0_1(X73)
          | ~ ndr1_0 )
      | ! [X75] :
          ( c0_1(X75)
          | c3_1(X75)
          | ~ c2_1(X75)
          | ~ ndr1_0 )
      | ! [X74] :
          ( ~ c1_1(X74)
          | ~ c0_1(X74)
          | ~ c2_1(X74)
          | ~ ndr1_0 ) )
    & ( ! [X56] :
          ( ~ c1_1(X56)
          | c3_1(X56)
          | c0_1(X56)
          | ~ ndr1_0 )
      | ! [X57] :
          ( c0_1(X57)
          | ~ c1_1(X57)
          | c2_1(X57)
          | ~ ndr1_0 )
      | hskp5 )
    & ( hskp23
      | hskp17
      | hskp21 )
    & ( hskp19
      | hskp18
      | hskp16 )
    & ( ( ~ c2_1(a775)
        & c1_1(a775)
        & ndr1_0
        & ~ c3_1(a775) )
      | ~ hskp18 )
    & ( ( c3_1(a731)
        & ~ c2_1(a731)
        & ndr1_0
        & c1_1(a731) )
      | ~ hskp1 )
    & ( ! [X45] :
          ( ~ c1_1(X45)
          | ~ c3_1(X45)
          | c2_1(X45)
          | ~ ndr1_0 )
      | hskp11
      | ! [X46] :
          ( c1_1(X46)
          | ~ c3_1(X46)
          | c2_1(X46)
          | ~ ndr1_0 ) )
    & ( hskp1
      | hskp4
      | hskp7 )
    & ( ~ hskp13
      | ( ndr1_0
        & c2_1(a759)
        & ~ c1_1(a759)
        & c3_1(a759) ) )
    & ( hskp20
      | ! [X76] :
          ( ~ c3_1(X76)
          | ~ c2_1(X76)
          | c1_1(X76)
          | ~ ndr1_0 )
      | hskp5 )
    & ( ! [X83] :
          ( c3_1(X83)
          | ~ c2_1(X83)
          | c0_1(X83)
          | ~ ndr1_0 )
      | hskp15
      | ! [X84] :
          ( ~ c0_1(X84)
          | ~ c3_1(X84)
          | ~ c1_1(X84)
          | ~ ndr1_0 ) )
    & ( hskp27
      | hskp11
      | ! [X15] :
          ( c0_1(X15)
          | c2_1(X15)
          | ~ c3_1(X15)
          | ~ ndr1_0 ) )
    & ( ( c3_1(a746)
        & ~ c2_1(a746)
        & ndr1_0
        & ~ c0_1(a746) )
      | ~ hskp9 )
    & ( ! [X30] :
          ( ~ c3_1(X30)
          | c1_1(X30)
          | ~ c2_1(X30)
          | ~ ndr1_0 )
      | ! [X31] :
          ( ~ c0_1(X31)
          | c2_1(X31)
          | ~ c3_1(X31)
          | ~ ndr1_0 )
      | ! [X29] :
          ( c0_1(X29)
          | ~ c2_1(X29)
          | ~ c3_1(X29)
          | ~ ndr1_0 ) )
    & ( hskp2
      | ! [X67] :
          ( c0_1(X67)
          | c1_1(X67)
          | c3_1(X67)
          | ~ ndr1_0 )
      | ! [X68] :
          ( ~ c3_1(X68)
          | c0_1(X68)
          | c2_1(X68)
          | ~ ndr1_0 ) )
    & ( ~ hskp26
      | ( c1_1(a737)
        & c2_1(a737)
        & c3_1(a737)
        & ndr1_0 ) )
    & ( ! [X69] :
          ( c1_1(X69)
          | ~ c2_1(X69)
          | c0_1(X69)
          | ~ ndr1_0 )
      | hskp2
      | hskp1 )
    & ( hskp12
      | ! [X20] :
          ( c2_1(X20)
          | c0_1(X20)
          | ~ c3_1(X20)
          | ~ ndr1_0 )
      | hskp7 )
    & ( ! [X35] :
          ( ~ c1_1(X35)
          | ~ c0_1(X35)
          | ~ c3_1(X35)
          | ~ ndr1_0 )
      | ! [X36] :
          ( ~ c0_1(X36)
          | ~ c1_1(X36)
          | c2_1(X36)
          | ~ ndr1_0 )
      | ! [X34] :
          ( c1_1(X34)
          | c0_1(X34)
          | c3_1(X34)
          | ~ ndr1_0 ) )
    & ( ! [X23] :
          ( c0_1(X23)
          | c1_1(X23)
          | ~ c2_1(X23)
          | ~ ndr1_0 )
      | ! [X24] :
          ( c2_1(X24)
          | ~ c1_1(X24)
          | c0_1(X24)
          | ~ ndr1_0 )
      | hskp0 )
    & ( ! [X42] :
          ( c3_1(X42)
          | ~ c0_1(X42)
          | ~ c1_1(X42)
          | ~ ndr1_0 )
      | ! [X41] :
          ( ~ c2_1(X41)
          | ~ c0_1(X41)
          | ~ c3_1(X41)
          | ~ ndr1_0 )
      | hskp1 )
    & ( ! [X39] :
          ( ~ c0_1(X39)
          | ~ c2_1(X39)
          | ~ c3_1(X39)
          | ~ ndr1_0 )
      | hskp26
      | ! [X40] :
          ( c2_1(X40)
          | ~ c0_1(X40)
          | c1_1(X40)
          | ~ ndr1_0 ) )
    & ( ( ndr1_0
        & c2_1(a777)
        & ~ c3_1(a777)
        & ~ c0_1(a777) )
      | ~ hskp19 )
    & ( hskp0
      | hskp8 )
    & ( ! [X61] :
          ( c0_1(X61)
          | ~ c2_1(X61)
          | c3_1(X61)
          | ~ ndr1_0 )
      | ! [X60] :
          ( c2_1(X60)
          | c3_1(X60)
          | c0_1(X60)
          | ~ ndr1_0 )
      | hskp8 )
    & ( hskp16
      | ! [X65] :
          ( ~ c0_1(X65)
          | c3_1(X65)
          | c2_1(X65)
          | ~ ndr1_0 )
      | hskp3 )
    & ( ! [X48] :
          ( ~ c2_1(X48)
          | c1_1(X48)
          | ~ c0_1(X48)
          | ~ ndr1_0 )
      | ! [X47] :
          ( c1_1(X47)
          | ~ c3_1(X47)
          | c0_1(X47)
          | ~ ndr1_0 )
      | hskp4 )
    & ( ~ hskp22
      | ( ~ c2_1(a797)
        & ~ c1_1(a797)
        & c3_1(a797)
        & ndr1_0 ) )
    & ( ! [X7] :
          ( c2_1(X7)
          | c0_1(X7)
          | ~ c1_1(X7)
          | ~ ndr1_0 )
      | hskp11
      | ! [X8] :
          ( c2_1(X8)
          | ~ c1_1(X8)
          | ~ c3_1(X8)
          | ~ ndr1_0 ) )
    & ( ~ hskp14
      | ( c0_1(a762)
        & ~ c2_1(a762)
        & ~ c1_1(a762)
        & ndr1_0 ) )
    & ( ! [X86] :
          ( c3_1(X86)
          | ~ c2_1(X86)
          | c1_1(X86)
          | ~ ndr1_0 )
      | ! [X85] :
          ( ~ c0_1(X85)
          | ~ c3_1(X85)
          | c1_1(X85)
          | ~ ndr1_0 )
      | hskp5 )
    & ( ! [X90] :
          ( ~ c1_1(X90)
          | c3_1(X90)
          | c2_1(X90)
          | ~ ndr1_0 )
      | hskp28
      | hskp16 )
    & ( ! [X26] :
          ( c1_1(X26)
          | ~ c3_1(X26)
          | ~ c0_1(X26)
          | ~ ndr1_0 )
      | hskp27
      | hskp19 )
    & ( ! [X27] :
          ( c0_1(X27)
          | c1_1(X27)
          | c2_1(X27)
          | ~ ndr1_0 )
      | ! [X28] :
          ( ~ c0_1(X28)
          | ~ c3_1(X28)
          | c1_1(X28)
          | ~ ndr1_0 )
      | hskp25 )
    & ( ! [X18] :
          ( ~ c2_1(X18)
          | ~ c1_1(X18)
          | c0_1(X18)
          | ~ ndr1_0 )
      | hskp17
      | hskp27 )
    & ( hskp27
      | ! [X78] :
          ( ~ c2_1(X78)
          | ~ c1_1(X78)
          | ~ c0_1(X78)
          | ~ ndr1_0 )
      | ! [X79] :
          ( ~ c1_1(X79)
          | c2_1(X79)
          | c0_1(X79)
          | ~ ndr1_0 ) )
    & ( ~ hskp0
      | ( c0_1(a730)
        & ~ c1_1(a730)
        & ndr1_0
        & c3_1(a730) ) )
    & ( ( c1_1(a784)
        & c0_1(a784)
        & ndr1_0
        & c3_1(a784) )
      | ~ hskp28 )
    & ( ! [X51] :
          ( ~ c2_1(X51)
          | c3_1(X51)
          | c1_1(X51)
          | ~ ndr1_0 )
      | ! [X50] :
          ( ~ c0_1(X50)
          | ~ c1_1(X50)
          | c2_1(X50)
          | ~ ndr1_0 )
      | ! [X49] :
          ( c2_1(X49)
          | c0_1(X49)
          | ~ c3_1(X49)
          | ~ ndr1_0 ) )
    & ( ! [X70] :
          ( ~ c3_1(X70)
          | c0_1(X70)
          | c2_1(X70)
          | ~ ndr1_0 )
      | hskp6
      | hskp25 )
    & ( hskp16
      | ! [X72] :
          ( ~ c2_1(X72)
          | c0_1(X72)
          | ~ c1_1(X72)
          | ~ ndr1_0 )
      | ! [X71] :
          ( ~ c3_1(X71)
          | ~ c0_1(X71)
          | ~ c2_1(X71)
          | ~ ndr1_0 ) )
    & ( ! [X91] :
          ( ~ c2_1(X91)
          | ~ c1_1(X91)
          | c3_1(X91)
          | ~ ndr1_0 )
      | hskp11
      | hskp27 )
    & ( ~ hskp17
      | ( ndr1_0
        & ~ c0_1(a766)
        & c1_1(a766)
        & c2_1(a766) ) )
    & ( hskp19
      | hskp5
      | hskp0 )
    & ( ~ hskp2
      | ( ~ c2_1(a732)
        & ndr1_0
        & ~ c3_1(a732)
        & ~ c0_1(a732) ) )
    & ( ! [X2] :
          ( c2_1(X2)
          | ~ c3_1(X2)
          | ~ c1_1(X2)
          | ~ ndr1_0 )
      | ! [X3] :
          ( c0_1(X3)
          | c2_1(X3)
          | ~ c3_1(X3)
          | ~ ndr1_0 )
      | ! [X1] :
          ( ~ c3_1(X1)
          | ~ c1_1(X1)
          | c0_1(X1)
          | ~ ndr1_0 ) )
    & ( hskp7
      | ! [X32] :
          ( c3_1(X32)
          | ~ c2_1(X32)
          | c0_1(X32)
          | ~ ndr1_0 )
      | ! [X33] :
          ( c0_1(X33)
          | c1_1(X33)
          | ~ c3_1(X33)
          | ~ ndr1_0 ) )
    & ( ! [X19] :
          ( ~ c2_1(X19)
          | ~ c3_1(X19)
          | ~ c0_1(X19)
          | ~ ndr1_0 )
      | hskp11
      | hskp28 )
    & ( ~ hskp11
      | ( ~ c0_1(a749)
        & ndr1_0
        & ~ c1_1(a749)
        & ~ c3_1(a749) ) )
    & ( ~ hskp20
      | ( ndr1_0
        & ~ c3_1(a779)
        & c2_1(a779)
        & ~ c1_1(a779) ) )
    & ( ( ndr1_0
        & c0_1(a729)
        & c2_1(a729)
        & c3_1(a729) )
      | ~ hskp25 )
    & ( ( ndr1_0
        & ~ c1_1(a748)
        & ~ c0_1(a748)
        & ~ c2_1(a748) )
      | ~ hskp10 )
    & ( hskp4
      | hskp6
      | ! [X22] :
          ( ~ c3_1(X22)
          | c2_1(X22)
          | c0_1(X22)
          | ~ ndr1_0 ) )
    & ( hskp2
      | ! [X12] :
          ( c1_1(X12)
          | ~ c0_1(X12)
          | c2_1(X12)
          | ~ ndr1_0 )
      | ! [X11] :
          ( ~ c1_1(X11)
          | ~ c3_1(X11)
          | c0_1(X11)
          | ~ ndr1_0 ) )
    & ( hskp23
      | hskp24
      | hskp13 )
    & ( ! [X37] :
          ( ~ c1_1(X37)
          | c0_1(X37)
          | c3_1(X37)
          | ~ ndr1_0 )
      | hskp13
      | ! [X38] :
          ( ~ c1_1(X38)
          | ~ c0_1(X38)
          | c2_1(X38)
          | ~ ndr1_0 ) )
    & ( ~ hskp3
      | ( c2_1(a733)
        & ndr1_0
        & ~ c0_1(a733)
        & ~ c1_1(a733) ) )
    & ( hskp21
      | ! [X25] :
          ( ~ c3_1(X25)
          | ~ c2_1(X25)
          | ~ c0_1(X25)
          | ~ ndr1_0 )
      | hskp2 )
    & ( ~ hskp12
      | ( c2_1(a755)
        & ~ c3_1(a755)
        & c1_1(a755)
        & ndr1_0 ) )
    & ( ( c3_1(a741)
        & c1_1(a741)
        & ~ c0_1(a741)
        & ndr1_0 )
      | ~ hskp7 )
    & ( hskp0
      | ! [X58] :
          ( c3_1(X58)
          | ~ c1_1(X58)
          | c0_1(X58)
          | ~ ndr1_0 )
      | hskp9 )
    & ( hskp5
      | ! [X13] :
          ( c3_1(X13)
          | c0_1(X13)
          | c1_1(X13)
          | ~ ndr1_0 )
      | hskp4 )
    & ( ( c3_1(a735)
        & ~ c0_1(a735)
        & ndr1_0
        & c2_1(a735) )
      | ~ hskp5 )
    & ( ( c0_1(a793)
        & c3_1(a793)
        & ndr1_0
        & ~ c2_1(a793) )
      | ~ hskp21 )
    & ( hskp18
      | ! [X44] :
          ( ~ c2_1(X44)
          | c1_1(X44)
          | c3_1(X44)
          | ~ ndr1_0 )
      | ! [X43] :
          ( c2_1(X43)
          | ~ c3_1(X43)
          | ~ c1_1(X43)
          | ~ ndr1_0 ) )
    & ( ~ hskp27
      | ( c0_1(a750)
        & c2_1(a750)
        & c1_1(a750)
        & ndr1_0 ) )
    & ( ( c1_1(a798)
        & ~ c3_1(a798)
        & c0_1(a798)
        & ndr1_0 )
      | ~ hskp23 )
    & ( ~ hskp16
      | ( c2_1(a764)
        & c0_1(a764)
        & ndr1_0
        & ~ c3_1(a764) ) )
    & ( hskp5
      | ! [X66] :
          ( c3_1(X66)
          | c1_1(X66)
          | ~ c0_1(X66)
          | ~ ndr1_0 )
      | hskp27 ) ),
    inference(ennf_transformation,[],[f4]) ).

fof(f4,plain,
    ( ( hskp27
      | ! [X89] :
          ( ndr1_0
         => ( c2_1(X89)
            | c3_1(X89)
            | c1_1(X89) ) )
      | hskp0 )
    & ( ( ~ c0_1(a734)
        & ndr1_0
        & c1_1(a734)
        & ~ c3_1(a734) )
      | ~ hskp4 )
    & ( ~ hskp15
      | ( ~ c2_1(a763)
        & c0_1(a763)
        & ndr1_0
        & c1_1(a763) ) )
    & ( ! [X82] :
          ( ndr1_0
         => ( ~ c0_1(X82)
            | c2_1(X82)
            | ~ c1_1(X82) ) )
      | ! [X80] :
          ( ndr1_0
         => ( ~ c3_1(X80)
            | c1_1(X80)
            | c0_1(X80) ) )
      | ! [X81] :
          ( ndr1_0
         => ( c1_1(X81)
            | ~ c0_1(X81)
            | c3_1(X81) ) ) )
    & ( hskp9
      | hskp5
      | ! [X77] :
          ( ndr1_0
         => ( c3_1(X77)
            | c0_1(X77)
            | c2_1(X77) ) ) )
    & ( hskp25
      | ! [X59] :
          ( ndr1_0
         => ( c3_1(X59)
            | c2_1(X59)
            | ~ c0_1(X59) ) )
      | hskp9 )
    & ( hskp22
      | ! [X10] :
          ( ndr1_0
         => ( ~ c3_1(X10)
            | ~ c2_1(X10)
            | ~ c1_1(X10) ) )
      | hskp16 )
    & ( ! [X64] :
          ( ndr1_0
         => ( ~ c3_1(X64)
            | ~ c1_1(X64)
            | ~ c2_1(X64) ) )
      | ! [X62] :
          ( ndr1_0
         => ( ~ c3_1(X62)
            | ~ c2_1(X62)
            | c0_1(X62) ) )
      | ! [X63] :
          ( ndr1_0
         => ( c0_1(X63)
            | ~ c3_1(X63)
            | c2_1(X63) ) ) )
    & ( hskp5
      | ! [X54] :
          ( ndr1_0
         => ( ~ c1_1(X54)
            | c0_1(X54)
            | ~ c2_1(X54) ) )
      | ! [X55] :
          ( ndr1_0
         => ( c1_1(X55)
            | ~ c3_1(X55)
            | c0_1(X55) ) ) )
    & ( hskp6
      | hskp26
      | ! [X21] :
          ( ndr1_0
         => ( ~ c2_1(X21)
            | c1_1(X21)
            | c0_1(X21) ) ) )
    & ( ! [X87] :
          ( ndr1_0
         => ( ~ c1_1(X87)
            | c3_1(X87)
            | c2_1(X87) ) )
      | hskp3
      | ! [X88] :
          ( ndr1_0
         => ( c1_1(X88)
            | c3_1(X88)
            | c0_1(X88) ) ) )
    & ( ! [X0] :
          ( ndr1_0
         => ( c1_1(X0)
            | c0_1(X0)
            | c2_1(X0) ) )
      | hskp0
      | hskp1 )
    & ( ~ hskp6
      | ( c1_1(a738)
        & ~ c2_1(a738)
        & ndr1_0
        & ~ c0_1(a738) ) )
    & ( ! [X5] :
          ( ndr1_0
         => ( ~ c0_1(X5)
            | c2_1(X5)
            | c3_1(X5) ) )
      | ! [X6] :
          ( ndr1_0
         => ( ~ c2_1(X6)
            | c3_1(X6)
            | c1_1(X6) ) )
      | ! [X4] :
          ( ndr1_0
         => ( ~ c1_1(X4)
            | ~ c2_1(X4)
            | ~ c0_1(X4) ) ) )
    & ( ! [X9] :
          ( ndr1_0
         => ( ~ c0_1(X9)
            | ~ c3_1(X9)
            | ~ c2_1(X9) ) )
      | hskp21 )
    & ( ! [X14] :
          ( ndr1_0
         => ( ~ c1_1(X14)
            | ~ c0_1(X14)
            | c3_1(X14) ) )
      | hskp18
      | hskp11 )
    & ( ( ~ c2_1(a802)
        & ~ c3_1(a802)
        & c0_1(a802)
        & ndr1_0 )
      | ~ hskp24 )
    & ( ~ hskp8
      | ( ~ c1_1(a744)
        & ndr1_0
        & ~ c0_1(a744)
        & c3_1(a744) ) )
    & ( ! [X52] :
          ( ndr1_0
         => ( c0_1(X52)
            | c2_1(X52)
            | ~ c1_1(X52) ) )
      | ! [X53] :
          ( ndr1_0
         => ( c0_1(X53)
            | ~ c2_1(X53)
            | ~ c3_1(X53) ) )
      | hskp10 )
    & ( ! [X16] :
          ( ndr1_0
         => ( c2_1(X16)
            | ~ c1_1(X16)
            | c3_1(X16) ) )
      | ! [X17] :
          ( ndr1_0
         => ( c3_1(X17)
            | c0_1(X17)
            | ~ c2_1(X17) ) )
      | hskp14 )
    & ( ! [X73] :
          ( ndr1_0
         => ( c1_1(X73)
            | c2_1(X73)
            | c0_1(X73) ) )
      | ! [X75] :
          ( ndr1_0
         => ( c0_1(X75)
            | c3_1(X75)
            | ~ c2_1(X75) ) )
      | ! [X74] :
          ( ndr1_0
         => ( ~ c1_1(X74)
            | ~ c0_1(X74)
            | ~ c2_1(X74) ) ) )
    & ( ! [X56] :
          ( ndr1_0
         => ( ~ c1_1(X56)
            | c3_1(X56)
            | c0_1(X56) ) )
      | ! [X57] :
          ( ndr1_0
         => ( c0_1(X57)
            | ~ c1_1(X57)
            | c2_1(X57) ) )
      | hskp5 )
    & ( hskp23
      | hskp17
      | hskp21 )
    & ( hskp19
      | hskp18
      | hskp16 )
    & ( ( ~ c2_1(a775)
        & c1_1(a775)
        & ndr1_0
        & ~ c3_1(a775) )
      | ~ hskp18 )
    & ( ( c3_1(a731)
        & ~ c2_1(a731)
        & ndr1_0
        & c1_1(a731) )
      | ~ hskp1 )
    & ( ! [X45] :
          ( ndr1_0
         => ( ~ c1_1(X45)
            | ~ c3_1(X45)
            | c2_1(X45) ) )
      | hskp11
      | ! [X46] :
          ( ndr1_0
         => ( c1_1(X46)
            | ~ c3_1(X46)
            | c2_1(X46) ) ) )
    & ( hskp1
      | hskp4
      | hskp7 )
    & ( ~ hskp13
      | ( ndr1_0
        & c2_1(a759)
        & ~ c1_1(a759)
        & c3_1(a759) ) )
    & ( hskp20
      | ! [X76] :
          ( ndr1_0
         => ( ~ c3_1(X76)
            | ~ c2_1(X76)
            | c1_1(X76) ) )
      | hskp5 )
    & ( ! [X83] :
          ( ndr1_0
         => ( c3_1(X83)
            | ~ c2_1(X83)
            | c0_1(X83) ) )
      | hskp15
      | ! [X84] :
          ( ndr1_0
         => ( ~ c0_1(X84)
            | ~ c3_1(X84)
            | ~ c1_1(X84) ) ) )
    & ( hskp27
      | hskp11
      | ! [X15] :
          ( ndr1_0
         => ( c0_1(X15)
            | c2_1(X15)
            | ~ c3_1(X15) ) ) )
    & ( ( c3_1(a746)
        & ~ c2_1(a746)
        & ndr1_0
        & ~ c0_1(a746) )
      | ~ hskp9 )
    & ( ! [X30] :
          ( ndr1_0
         => ( ~ c3_1(X30)
            | c1_1(X30)
            | ~ c2_1(X30) ) )
      | ! [X31] :
          ( ndr1_0
         => ( ~ c0_1(X31)
            | c2_1(X31)
            | ~ c3_1(X31) ) )
      | ! [X29] :
          ( ndr1_0
         => ( c0_1(X29)
            | ~ c2_1(X29)
            | ~ c3_1(X29) ) ) )
    & ( hskp2
      | ! [X67] :
          ( ndr1_0
         => ( c0_1(X67)
            | c1_1(X67)
            | c3_1(X67) ) )
      | ! [X68] :
          ( ndr1_0
         => ( ~ c3_1(X68)
            | c0_1(X68)
            | c2_1(X68) ) ) )
    & ( ~ hskp26
      | ( c1_1(a737)
        & c2_1(a737)
        & c3_1(a737)
        & ndr1_0 ) )
    & ( ! [X69] :
          ( ndr1_0
         => ( c1_1(X69)
            | ~ c2_1(X69)
            | c0_1(X69) ) )
      | hskp2
      | hskp1 )
    & ( hskp12
      | ! [X20] :
          ( ndr1_0
         => ( c2_1(X20)
            | c0_1(X20)
            | ~ c3_1(X20) ) )
      | hskp7 )
    & ( ! [X35] :
          ( ndr1_0
         => ( ~ c1_1(X35)
            | ~ c0_1(X35)
            | ~ c3_1(X35) ) )
      | ! [X36] :
          ( ndr1_0
         => ( ~ c0_1(X36)
            | ~ c1_1(X36)
            | c2_1(X36) ) )
      | ! [X34] :
          ( ndr1_0
         => ( c1_1(X34)
            | c0_1(X34)
            | c3_1(X34) ) ) )
    & ( ! [X23] :
          ( ndr1_0
         => ( c0_1(X23)
            | c1_1(X23)
            | ~ c2_1(X23) ) )
      | ! [X24] :
          ( ndr1_0
         => ( c2_1(X24)
            | ~ c1_1(X24)
            | c0_1(X24) ) )
      | hskp0 )
    & ( ! [X42] :
          ( ndr1_0
         => ( c3_1(X42)
            | ~ c0_1(X42)
            | ~ c1_1(X42) ) )
      | ! [X41] :
          ( ndr1_0
         => ( ~ c2_1(X41)
            | ~ c0_1(X41)
            | ~ c3_1(X41) ) )
      | hskp1 )
    & ( ! [X39] :
          ( ndr1_0
         => ( ~ c0_1(X39)
            | ~ c2_1(X39)
            | ~ c3_1(X39) ) )
      | hskp26
      | ! [X40] :
          ( ndr1_0
         => ( c2_1(X40)
            | ~ c0_1(X40)
            | c1_1(X40) ) ) )
    & ( ( ndr1_0
        & c2_1(a777)
        & ~ c3_1(a777)
        & ~ c0_1(a777) )
      | ~ hskp19 )
    & ( hskp0
      | hskp8 )
    & ( ! [X61] :
          ( ndr1_0
         => ( c0_1(X61)
            | ~ c2_1(X61)
            | c3_1(X61) ) )
      | ! [X60] :
          ( ndr1_0
         => ( c2_1(X60)
            | c3_1(X60)
            | c0_1(X60) ) )
      | hskp8 )
    & ( hskp16
      | ! [X65] :
          ( ndr1_0
         => ( ~ c0_1(X65)
            | c3_1(X65)
            | c2_1(X65) ) )
      | hskp3 )
    & ( ! [X48] :
          ( ndr1_0
         => ( ~ c2_1(X48)
            | c1_1(X48)
            | ~ c0_1(X48) ) )
      | ! [X47] :
          ( ndr1_0
         => ( c1_1(X47)
            | ~ c3_1(X47)
            | c0_1(X47) ) )
      | hskp4 )
    & ( ~ hskp22
      | ( ~ c2_1(a797)
        & ~ c1_1(a797)
        & c3_1(a797)
        & ndr1_0 ) )
    & ( ! [X7] :
          ( ndr1_0
         => ( c2_1(X7)
            | c0_1(X7)
            | ~ c1_1(X7) ) )
      | hskp11
      | ! [X8] :
          ( ndr1_0
         => ( c2_1(X8)
            | ~ c1_1(X8)
            | ~ c3_1(X8) ) ) )
    & ( ~ hskp14
      | ( c0_1(a762)
        & ~ c2_1(a762)
        & ~ c1_1(a762)
        & ndr1_0 ) )
    & ( ! [X86] :
          ( ndr1_0
         => ( c3_1(X86)
            | ~ c2_1(X86)
            | c1_1(X86) ) )
      | ! [X85] :
          ( ndr1_0
         => ( ~ c0_1(X85)
            | ~ c3_1(X85)
            | c1_1(X85) ) )
      | hskp5 )
    & ( ! [X90] :
          ( ndr1_0
         => ( ~ c1_1(X90)
            | c3_1(X90)
            | c2_1(X90) ) )
      | hskp28
      | hskp16 )
    & ( ! [X26] :
          ( ndr1_0
         => ( c1_1(X26)
            | ~ c3_1(X26)
            | ~ c0_1(X26) ) )
      | hskp27
      | hskp19 )
    & ( ! [X27] :
          ( ndr1_0
         => ( c0_1(X27)
            | c1_1(X27)
            | c2_1(X27) ) )
      | ! [X28] :
          ( ndr1_0
         => ( ~ c0_1(X28)
            | ~ c3_1(X28)
            | c1_1(X28) ) )
      | hskp25 )
    & ( ! [X18] :
          ( ndr1_0
         => ( ~ c2_1(X18)
            | ~ c1_1(X18)
            | c0_1(X18) ) )
      | hskp17
      | hskp27 )
    & ( hskp27
      | ! [X78] :
          ( ndr1_0
         => ( ~ c2_1(X78)
            | ~ c1_1(X78)
            | ~ c0_1(X78) ) )
      | ! [X79] :
          ( ndr1_0
         => ( ~ c1_1(X79)
            | c2_1(X79)
            | c0_1(X79) ) ) )
    & ( ~ hskp0
      | ( c0_1(a730)
        & ~ c1_1(a730)
        & ndr1_0
        & c3_1(a730) ) )
    & ( ( c1_1(a784)
        & c0_1(a784)
        & ndr1_0
        & c3_1(a784) )
      | ~ hskp28 )
    & ( ! [X51] :
          ( ndr1_0
         => ( ~ c2_1(X51)
            | c3_1(X51)
            | c1_1(X51) ) )
      | ! [X50] :
          ( ndr1_0
         => ( ~ c0_1(X50)
            | ~ c1_1(X50)
            | c2_1(X50) ) )
      | ! [X49] :
          ( ndr1_0
         => ( c2_1(X49)
            | c0_1(X49)
            | ~ c3_1(X49) ) ) )
    & ( ! [X70] :
          ( ndr1_0
         => ( ~ c3_1(X70)
            | c0_1(X70)
            | c2_1(X70) ) )
      | hskp6
      | hskp25 )
    & ( hskp16
      | ! [X72] :
          ( ndr1_0
         => ( ~ c2_1(X72)
            | c0_1(X72)
            | ~ c1_1(X72) ) )
      | ! [X71] :
          ( ndr1_0
         => ( ~ c3_1(X71)
            | ~ c0_1(X71)
            | ~ c2_1(X71) ) ) )
    & ( ! [X91] :
          ( ndr1_0
         => ( ~ c2_1(X91)
            | ~ c1_1(X91)
            | c3_1(X91) ) )
      | hskp11
      | hskp27 )
    & ( ~ hskp17
      | ( ndr1_0
        & ~ c0_1(a766)
        & c1_1(a766)
        & c2_1(a766) ) )
    & ( hskp19
      | hskp5
      | hskp0 )
    & ( ~ hskp2
      | ( ~ c2_1(a732)
        & ndr1_0
        & ~ c3_1(a732)
        & ~ c0_1(a732) ) )
    & ( ! [X2] :
          ( ndr1_0
         => ( c2_1(X2)
            | ~ c3_1(X2)
            | ~ c1_1(X2) ) )
      | ! [X3] :
          ( ndr1_0
         => ( c0_1(X3)
            | c2_1(X3)
            | ~ c3_1(X3) ) )
      | ! [X1] :
          ( ndr1_0
         => ( ~ c3_1(X1)
            | ~ c1_1(X1)
            | c0_1(X1) ) ) )
    & ( hskp7
      | ! [X32] :
          ( ndr1_0
         => ( c3_1(X32)
            | ~ c2_1(X32)
            | c0_1(X32) ) )
      | ! [X33] :
          ( ndr1_0
         => ( c0_1(X33)
            | c1_1(X33)
            | ~ c3_1(X33) ) ) )
    & ( ! [X19] :
          ( ndr1_0
         => ( ~ c2_1(X19)
            | ~ c3_1(X19)
            | ~ c0_1(X19) ) )
      | hskp11
      | hskp28 )
    & ( ~ hskp11
      | ( ~ c0_1(a749)
        & ndr1_0
        & ~ c1_1(a749)
        & ~ c3_1(a749) ) )
    & ( ~ hskp20
      | ( ndr1_0
        & ~ c3_1(a779)
        & c2_1(a779)
        & ~ c1_1(a779) ) )
    & ( ( ndr1_0
        & c0_1(a729)
        & c2_1(a729)
        & c3_1(a729) )
      | ~ hskp25 )
    & ( ( ndr1_0
        & ~ c1_1(a748)
        & ~ c0_1(a748)
        & ~ c2_1(a748) )
      | ~ hskp10 )
    & ( hskp4
      | hskp6
      | ! [X22] :
          ( ndr1_0
         => ( ~ c3_1(X22)
            | c2_1(X22)
            | c0_1(X22) ) ) )
    & ( hskp2
      | ! [X12] :
          ( ndr1_0
         => ( c1_1(X12)
            | ~ c0_1(X12)
            | c2_1(X12) ) )
      | ! [X11] :
          ( ndr1_0
         => ( ~ c1_1(X11)
            | ~ c3_1(X11)
            | c0_1(X11) ) ) )
    & ( hskp23
      | hskp24
      | hskp13 )
    & ( ! [X37] :
          ( ndr1_0
         => ( ~ c1_1(X37)
            | c0_1(X37)
            | c3_1(X37) ) )
      | hskp13
      | ! [X38] :
          ( ndr1_0
         => ( ~ c1_1(X38)
            | ~ c0_1(X38)
            | c2_1(X38) ) ) )
    & ( ~ hskp3
      | ( c2_1(a733)
        & ndr1_0
        & ~ c0_1(a733)
        & ~ c1_1(a733) ) )
    & ( hskp21
      | ! [X25] :
          ( ndr1_0
         => ( ~ c3_1(X25)
            | ~ c2_1(X25)
            | ~ c0_1(X25) ) )
      | hskp2 )
    & ( ~ hskp12
      | ( c2_1(a755)
        & ~ c3_1(a755)
        & c1_1(a755)
        & ndr1_0 ) )
    & ( ( c3_1(a741)
        & c1_1(a741)
        & ~ c0_1(a741)
        & ndr1_0 )
      | ~ hskp7 )
    & ( hskp0
      | ! [X58] :
          ( ndr1_0
         => ( c3_1(X58)
            | ~ c1_1(X58)
            | c0_1(X58) ) )
      | hskp9 )
    & ( hskp5
      | ! [X13] :
          ( ndr1_0
         => ( c3_1(X13)
            | c0_1(X13)
            | c1_1(X13) ) )
      | hskp4 )
    & ( ( c3_1(a735)
        & ~ c0_1(a735)
        & ndr1_0
        & c2_1(a735) )
      | ~ hskp5 )
    & ( ( c0_1(a793)
        & c3_1(a793)
        & ndr1_0
        & ~ c2_1(a793) )
      | ~ hskp21 )
    & ( hskp18
      | ! [X44] :
          ( ndr1_0
         => ( ~ c2_1(X44)
            | c1_1(X44)
            | c3_1(X44) ) )
      | ! [X43] :
          ( ndr1_0
         => ( c2_1(X43)
            | ~ c3_1(X43)
            | ~ c1_1(X43) ) ) )
    & ( ~ hskp27
      | ( c0_1(a750)
        & c2_1(a750)
        & c1_1(a750)
        & ndr1_0 ) )
    & ( ( c1_1(a798)
        & ~ c3_1(a798)
        & c0_1(a798)
        & ndr1_0 )
      | ~ hskp23 )
    & ( ~ hskp16
      | ( c2_1(a764)
        & c0_1(a764)
        & ndr1_0
        & ~ c3_1(a764) ) )
    & ( hskp5
      | ! [X66] :
          ( ndr1_0
         => ( c3_1(X66)
            | c1_1(X66)
            | ~ c0_1(X66) ) )
      | hskp27 ) ),
    inference(flattening,[],[f3]) ).

fof(f3,plain,
    ~ ~ ( ( hskp27
          | ! [X89] :
              ( ndr1_0
             => ( c2_1(X89)
                | c3_1(X89)
                | c1_1(X89) ) )
          | hskp0 )
        & ( ( ~ c0_1(a734)
            & ndr1_0
            & c1_1(a734)
            & ~ c3_1(a734) )
          | ~ hskp4 )
        & ( ~ hskp15
          | ( ~ c2_1(a763)
            & c0_1(a763)
            & ndr1_0
            & c1_1(a763) ) )
        & ( ! [X82] :
              ( ndr1_0
             => ( ~ c0_1(X82)
                | c2_1(X82)
                | ~ c1_1(X82) ) )
          | ! [X80] :
              ( ndr1_0
             => ( ~ c3_1(X80)
                | c1_1(X80)
                | c0_1(X80) ) )
          | ! [X81] :
              ( ndr1_0
             => ( c1_1(X81)
                | ~ c0_1(X81)
                | c3_1(X81) ) ) )
        & ( hskp9
          | hskp5
          | ! [X77] :
              ( ndr1_0
             => ( c3_1(X77)
                | c0_1(X77)
                | c2_1(X77) ) ) )
        & ( hskp25
          | ! [X59] :
              ( ndr1_0
             => ( c3_1(X59)
                | c2_1(X59)
                | ~ c0_1(X59) ) )
          | hskp9 )
        & ( hskp22
          | ! [X10] :
              ( ndr1_0
             => ( ~ c3_1(X10)
                | ~ c2_1(X10)
                | ~ c1_1(X10) ) )
          | hskp16 )
        & ( ! [X64] :
              ( ndr1_0
             => ( ~ c3_1(X64)
                | ~ c1_1(X64)
                | ~ c2_1(X64) ) )
          | ! [X62] :
              ( ndr1_0
             => ( ~ c3_1(X62)
                | ~ c2_1(X62)
                | c0_1(X62) ) )
          | ! [X63] :
              ( ndr1_0
             => ( c0_1(X63)
                | ~ c3_1(X63)
                | c2_1(X63) ) ) )
        & ( hskp5
          | ! [X54] :
              ( ndr1_0
             => ( ~ c1_1(X54)
                | c0_1(X54)
                | ~ c2_1(X54) ) )
          | ! [X55] :
              ( ndr1_0
             => ( c1_1(X55)
                | ~ c3_1(X55)
                | c0_1(X55) ) ) )
        & ( hskp6
          | hskp26
          | ! [X21] :
              ( ndr1_0
             => ( ~ c2_1(X21)
                | c1_1(X21)
                | c0_1(X21) ) ) )
        & ( ! [X87] :
              ( ndr1_0
             => ( ~ c1_1(X87)
                | c3_1(X87)
                | c2_1(X87) ) )
          | hskp3
          | ! [X88] :
              ( ndr1_0
             => ( c1_1(X88)
                | c3_1(X88)
                | c0_1(X88) ) ) )
        & ( ! [X0] :
              ( ndr1_0
             => ( c1_1(X0)
                | c0_1(X0)
                | c2_1(X0) ) )
          | hskp0
          | hskp1 )
        & ( ~ hskp6
          | ( c1_1(a738)
            & ~ c2_1(a738)
            & ndr1_0
            & ~ c0_1(a738) ) )
        & ( ! [X5] :
              ( ndr1_0
             => ( ~ c0_1(X5)
                | c2_1(X5)
                | c3_1(X5) ) )
          | ! [X6] :
              ( ndr1_0
             => ( ~ c2_1(X6)
                | c3_1(X6)
                | c1_1(X6) ) )
          | ! [X4] :
              ( ndr1_0
             => ( ~ c1_1(X4)
                | ~ c2_1(X4)
                | ~ c0_1(X4) ) ) )
        & ( ! [X9] :
              ( ndr1_0
             => ( ~ c0_1(X9)
                | ~ c3_1(X9)
                | ~ c2_1(X9) ) )
          | hskp21 )
        & ( ! [X14] :
              ( ndr1_0
             => ( ~ c1_1(X14)
                | ~ c0_1(X14)
                | c3_1(X14) ) )
          | hskp18
          | hskp11 )
        & ( ( ~ c2_1(a802)
            & ~ c3_1(a802)
            & c0_1(a802)
            & ndr1_0 )
          | ~ hskp24 )
        & ( ~ hskp8
          | ( ~ c1_1(a744)
            & ndr1_0
            & ~ c0_1(a744)
            & c3_1(a744) ) )
        & ( ! [X52] :
              ( ndr1_0
             => ( c0_1(X52)
                | c2_1(X52)
                | ~ c1_1(X52) ) )
          | ! [X53] :
              ( ndr1_0
             => ( c0_1(X53)
                | ~ c2_1(X53)
                | ~ c3_1(X53) ) )
          | hskp10 )
        & ( ! [X16] :
              ( ndr1_0
             => ( c2_1(X16)
                | ~ c1_1(X16)
                | c3_1(X16) ) )
          | ! [X17] :
              ( ndr1_0
             => ( c3_1(X17)
                | c0_1(X17)
                | ~ c2_1(X17) ) )
          | hskp14 )
        & ( ! [X73] :
              ( ndr1_0
             => ( c1_1(X73)
                | c2_1(X73)
                | c0_1(X73) ) )
          | ! [X75] :
              ( ndr1_0
             => ( c0_1(X75)
                | c3_1(X75)
                | ~ c2_1(X75) ) )
          | ! [X74] :
              ( ndr1_0
             => ( ~ c1_1(X74)
                | ~ c0_1(X74)
                | ~ c2_1(X74) ) ) )
        & ( ! [X56] :
              ( ndr1_0
             => ( ~ c1_1(X56)
                | c3_1(X56)
                | c0_1(X56) ) )
          | ! [X57] :
              ( ndr1_0
             => ( c0_1(X57)
                | ~ c1_1(X57)
                | c2_1(X57) ) )
          | hskp5 )
        & ( hskp23
          | hskp17
          | hskp21 )
        & ( hskp19
          | hskp18
          | hskp16 )
        & ( ( ~ c2_1(a775)
            & c1_1(a775)
            & ndr1_0
            & ~ c3_1(a775) )
          | ~ hskp18 )
        & ( ( c3_1(a731)
            & ~ c2_1(a731)
            & ndr1_0
            & c1_1(a731) )
          | ~ hskp1 )
        & ( ! [X45] :
              ( ndr1_0
             => ( ~ c1_1(X45)
                | ~ c3_1(X45)
                | c2_1(X45) ) )
          | hskp11
          | ! [X46] :
              ( ndr1_0
             => ( c1_1(X46)
                | ~ c3_1(X46)
                | c2_1(X46) ) ) )
        & ( hskp1
          | hskp4
          | hskp7 )
        & ( ~ hskp13
          | ( ndr1_0
            & c2_1(a759)
            & ~ c1_1(a759)
            & c3_1(a759) ) )
        & ( hskp20
          | ! [X76] :
              ( ndr1_0
             => ( ~ c3_1(X76)
                | ~ c2_1(X76)
                | c1_1(X76) ) )
          | hskp5 )
        & ( ! [X83] :
              ( ndr1_0
             => ( c3_1(X83)
                | ~ c2_1(X83)
                | c0_1(X83) ) )
          | hskp15
          | ! [X84] :
              ( ndr1_0
             => ( ~ c0_1(X84)
                | ~ c3_1(X84)
                | ~ c1_1(X84) ) ) )
        & ( hskp27
          | hskp11
          | ! [X15] :
              ( ndr1_0
             => ( c0_1(X15)
                | c2_1(X15)
                | ~ c3_1(X15) ) ) )
        & ( ( c3_1(a746)
            & ~ c2_1(a746)
            & ndr1_0
            & ~ c0_1(a746) )
          | ~ hskp9 )
        & ( ! [X30] :
              ( ndr1_0
             => ( ~ c3_1(X30)
                | c1_1(X30)
                | ~ c2_1(X30) ) )
          | ! [X31] :
              ( ndr1_0
             => ( ~ c0_1(X31)
                | c2_1(X31)
                | ~ c3_1(X31) ) )
          | ! [X29] :
              ( ndr1_0
             => ( c0_1(X29)
                | ~ c2_1(X29)
                | ~ c3_1(X29) ) ) )
        & ( hskp2
          | ! [X67] :
              ( ndr1_0
             => ( c0_1(X67)
                | c1_1(X67)
                | c3_1(X67) ) )
          | ! [X68] :
              ( ndr1_0
             => ( ~ c3_1(X68)
                | c0_1(X68)
                | c2_1(X68) ) ) )
        & ( ~ hskp26
          | ( c1_1(a737)
            & c2_1(a737)
            & c3_1(a737)
            & ndr1_0 ) )
        & ( ! [X69] :
              ( ndr1_0
             => ( c1_1(X69)
                | ~ c2_1(X69)
                | c0_1(X69) ) )
          | hskp2
          | hskp1 )
        & ( hskp12
          | ! [X20] :
              ( ndr1_0
             => ( c2_1(X20)
                | c0_1(X20)
                | ~ c3_1(X20) ) )
          | hskp7 )
        & ( ! [X35] :
              ( ndr1_0
             => ( ~ c1_1(X35)
                | ~ c0_1(X35)
                | ~ c3_1(X35) ) )
          | ! [X36] :
              ( ndr1_0
             => ( ~ c0_1(X36)
                | ~ c1_1(X36)
                | c2_1(X36) ) )
          | ! [X34] :
              ( ndr1_0
             => ( c1_1(X34)
                | c0_1(X34)
                | c3_1(X34) ) ) )
        & ( ! [X23] :
              ( ndr1_0
             => ( c0_1(X23)
                | c1_1(X23)
                | ~ c2_1(X23) ) )
          | ! [X24] :
              ( ndr1_0
             => ( c2_1(X24)
                | ~ c1_1(X24)
                | c0_1(X24) ) )
          | hskp0 )
        & ( ! [X42] :
              ( ndr1_0
             => ( c3_1(X42)
                | ~ c0_1(X42)
                | ~ c1_1(X42) ) )
          | ! [X41] :
              ( ndr1_0
             => ( ~ c2_1(X41)
                | ~ c0_1(X41)
                | ~ c3_1(X41) ) )
          | hskp1 )
        & ( ! [X39] :
              ( ndr1_0
             => ( ~ c0_1(X39)
                | ~ c2_1(X39)
                | ~ c3_1(X39) ) )
          | hskp26
          | ! [X40] :
              ( ndr1_0
             => ( c2_1(X40)
                | ~ c0_1(X40)
                | c1_1(X40) ) ) )
        & ( ( ndr1_0
            & c2_1(a777)
            & ~ c3_1(a777)
            & ~ c0_1(a777) )
          | ~ hskp19 )
        & ( hskp0
          | hskp8 )
        & ( ! [X61] :
              ( ndr1_0
             => ( c0_1(X61)
                | ~ c2_1(X61)
                | c3_1(X61) ) )
          | ! [X60] :
              ( ndr1_0
             => ( c2_1(X60)
                | c3_1(X60)
                | c0_1(X60) ) )
          | hskp8 )
        & ( hskp16
          | ! [X65] :
              ( ndr1_0
             => ( ~ c0_1(X65)
                | c3_1(X65)
                | c2_1(X65) ) )
          | hskp3 )
        & ( ! [X48] :
              ( ndr1_0
             => ( ~ c2_1(X48)
                | c1_1(X48)
                | ~ c0_1(X48) ) )
          | ! [X47] :
              ( ndr1_0
             => ( c1_1(X47)
                | ~ c3_1(X47)
                | c0_1(X47) ) )
          | hskp4 )
        & ( ~ hskp22
          | ( ~ c2_1(a797)
            & ~ c1_1(a797)
            & c3_1(a797)
            & ndr1_0 ) )
        & ( ! [X7] :
              ( ndr1_0
             => ( c2_1(X7)
                | c0_1(X7)
                | ~ c1_1(X7) ) )
          | hskp11
          | ! [X8] :
              ( ndr1_0
             => ( c2_1(X8)
                | ~ c1_1(X8)
                | ~ c3_1(X8) ) ) )
        & ( ~ hskp14
          | ( c0_1(a762)
            & ~ c2_1(a762)
            & ~ c1_1(a762)
            & ndr1_0 ) )
        & ( ! [X86] :
              ( ndr1_0
             => ( c3_1(X86)
                | ~ c2_1(X86)
                | c1_1(X86) ) )
          | ! [X85] :
              ( ndr1_0
             => ( ~ c0_1(X85)
                | ~ c3_1(X85)
                | c1_1(X85) ) )
          | hskp5 )
        & ( ! [X90] :
              ( ndr1_0
             => ( ~ c1_1(X90)
                | c3_1(X90)
                | c2_1(X90) ) )
          | hskp28
          | hskp16 )
        & ( ! [X26] :
              ( ndr1_0
             => ( c1_1(X26)
                | ~ c3_1(X26)
                | ~ c0_1(X26) ) )
          | hskp27
          | hskp19 )
        & ( ! [X27] :
              ( ndr1_0
             => ( c0_1(X27)
                | c1_1(X27)
                | c2_1(X27) ) )
          | ! [X28] :
              ( ndr1_0
             => ( ~ c0_1(X28)
                | ~ c3_1(X28)
                | c1_1(X28) ) )
          | hskp25 )
        & ( ! [X18] :
              ( ndr1_0
             => ( ~ c2_1(X18)
                | ~ c1_1(X18)
                | c0_1(X18) ) )
          | hskp17
          | hskp27 )
        & ( hskp27
          | ! [X78] :
              ( ndr1_0
             => ( ~ c2_1(X78)
                | ~ c1_1(X78)
                | ~ c0_1(X78) ) )
          | ! [X79] :
              ( ndr1_0
             => ( ~ c1_1(X79)
                | c2_1(X79)
                | c0_1(X79) ) ) )
        & ( ~ hskp0
          | ( c0_1(a730)
            & ~ c1_1(a730)
            & ndr1_0
            & c3_1(a730) ) )
        & ( ( c1_1(a784)
            & c0_1(a784)
            & ndr1_0
            & c3_1(a784) )
          | ~ hskp28 )
        & ( ! [X51] :
              ( ndr1_0
             => ( ~ c2_1(X51)
                | c3_1(X51)
                | c1_1(X51) ) )
          | ! [X50] :
              ( ndr1_0
             => ( ~ c0_1(X50)
                | ~ c1_1(X50)
                | c2_1(X50) ) )
          | ! [X49] :
              ( ndr1_0
             => ( c2_1(X49)
                | c0_1(X49)
                | ~ c3_1(X49) ) ) )
        & ( ! [X70] :
              ( ndr1_0
             => ( ~ c3_1(X70)
                | c0_1(X70)
                | c2_1(X70) ) )
          | hskp6
          | hskp25 )
        & ( hskp16
          | ! [X72] :
              ( ndr1_0
             => ( ~ c2_1(X72)
                | c0_1(X72)
                | ~ c1_1(X72) ) )
          | ! [X71] :
              ( ndr1_0
             => ( ~ c3_1(X71)
                | ~ c0_1(X71)
                | ~ c2_1(X71) ) ) )
        & ( ! [X91] :
              ( ndr1_0
             => ( ~ c2_1(X91)
                | ~ c1_1(X91)
                | c3_1(X91) ) )
          | hskp11
          | hskp27 )
        & ( ~ hskp17
          | ( ndr1_0
            & ~ c0_1(a766)
            & c1_1(a766)
            & c2_1(a766) ) )
        & ( hskp19
          | hskp5
          | hskp0 )
        & ( ~ hskp2
          | ( ~ c2_1(a732)
            & ndr1_0
            & ~ c3_1(a732)
            & ~ c0_1(a732) ) )
        & ( ! [X2] :
              ( ndr1_0
             => ( c2_1(X2)
                | ~ c3_1(X2)
                | ~ c1_1(X2) ) )
          | ! [X3] :
              ( ndr1_0
             => ( c0_1(X3)
                | c2_1(X3)
                | ~ c3_1(X3) ) )
          | ! [X1] :
              ( ndr1_0
             => ( ~ c3_1(X1)
                | ~ c1_1(X1)
                | c0_1(X1) ) ) )
        & ( hskp7
          | ! [X32] :
              ( ndr1_0
             => ( c3_1(X32)
                | ~ c2_1(X32)
                | c0_1(X32) ) )
          | ! [X33] :
              ( ndr1_0
             => ( c0_1(X33)
                | c1_1(X33)
                | ~ c3_1(X33) ) ) )
        & ( ! [X19] :
              ( ndr1_0
             => ( ~ c2_1(X19)
                | ~ c3_1(X19)
                | ~ c0_1(X19) ) )
          | hskp11
          | hskp28 )
        & ( ~ hskp11
          | ( ~ c0_1(a749)
            & ndr1_0
            & ~ c1_1(a749)
            & ~ c3_1(a749) ) )
        & ( ~ hskp20
          | ( ndr1_0
            & ~ c3_1(a779)
            & c2_1(a779)
            & ~ c1_1(a779) ) )
        & ( ( ndr1_0
            & c0_1(a729)
            & c2_1(a729)
            & c3_1(a729) )
          | ~ hskp25 )
        & ( ( ndr1_0
            & ~ c1_1(a748)
            & ~ c0_1(a748)
            & ~ c2_1(a748) )
          | ~ hskp10 )
        & ( hskp4
          | hskp6
          | ! [X22] :
              ( ndr1_0
             => ( ~ c3_1(X22)
                | c2_1(X22)
                | c0_1(X22) ) ) )
        & ( hskp2
          | ! [X12] :
              ( ndr1_0
             => ( c1_1(X12)
                | ~ c0_1(X12)
                | c2_1(X12) ) )
          | ! [X11] :
              ( ndr1_0
             => ( ~ c1_1(X11)
                | ~ c3_1(X11)
                | c0_1(X11) ) ) )
        & ( hskp23
          | hskp24
          | hskp13 )
        & ( ! [X37] :
              ( ndr1_0
             => ( ~ c1_1(X37)
                | c0_1(X37)
                | c3_1(X37) ) )
          | hskp13
          | ! [X38] :
              ( ndr1_0
             => ( ~ c1_1(X38)
                | ~ c0_1(X38)
                | c2_1(X38) ) ) )
        & ( ~ hskp3
          | ( c2_1(a733)
            & ndr1_0
            & ~ c0_1(a733)
            & ~ c1_1(a733) ) )
        & ( hskp21
          | ! [X25] :
              ( ndr1_0
             => ( ~ c3_1(X25)
                | ~ c2_1(X25)
                | ~ c0_1(X25) ) )
          | hskp2 )
        & ( ~ hskp12
          | ( c2_1(a755)
            & ~ c3_1(a755)
            & c1_1(a755)
            & ndr1_0 ) )
        & ( ( c3_1(a741)
            & c1_1(a741)
            & ~ c0_1(a741)
            & ndr1_0 )
          | ~ hskp7 )
        & ( hskp0
          | ! [X58] :
              ( ndr1_0
             => ( c3_1(X58)
                | ~ c1_1(X58)
                | c0_1(X58) ) )
          | hskp9 )
        & ( hskp5
          | ! [X13] :
              ( ndr1_0
             => ( c3_1(X13)
                | c0_1(X13)
                | c1_1(X13) ) )
          | hskp4 )
        & ( ( c3_1(a735)
            & ~ c0_1(a735)
            & ndr1_0
            & c2_1(a735) )
          | ~ hskp5 )
        & ( ( c0_1(a793)
            & c3_1(a793)
            & ndr1_0
            & ~ c2_1(a793) )
          | ~ hskp21 )
        & ( hskp18
          | ! [X44] :
              ( ndr1_0
             => ( ~ c2_1(X44)
                | c1_1(X44)
                | c3_1(X44) ) )
          | ! [X43] :
              ( ndr1_0
             => ( c2_1(X43)
                | ~ c3_1(X43)
                | ~ c1_1(X43) ) ) )
        & ( ~ hskp27
          | ( c0_1(a750)
            & c2_1(a750)
            & c1_1(a750)
            & ndr1_0 ) )
        & ( ( c1_1(a798)
            & ~ c3_1(a798)
            & c0_1(a798)
            & ndr1_0 )
          | ~ hskp23 )
        & ( ~ hskp16
          | ( c2_1(a764)
            & c0_1(a764)
            & ndr1_0
            & ~ c3_1(a764) ) )
        & ( hskp5
          | ! [X66] :
              ( ndr1_0
             => ( c3_1(X66)
                | c1_1(X66)
                | ~ c0_1(X66) ) )
          | hskp27 ) ),
    inference(rectify,[],[f2]) ).

fof(f2,negated_conjecture,
    ~ ~ ( ( ( ~ c2_1(a802)
            & ~ c3_1(a802)
            & c0_1(a802)
            & ndr1_0 )
          | ~ hskp24 )
        & ( ( c3_1(a746)
            & ~ c2_1(a746)
            & ndr1_0
            & ~ c0_1(a746) )
          | ~ hskp9 )
        & ( ! [X5] :
              ( ndr1_0
             => ( c2_1(X5)
                | c1_1(X5)
                | c0_1(X5) ) )
          | hskp0
          | hskp1 )
        & ( ~ hskp3
          | ( c2_1(a733)
            & ndr1_0
            & ~ c0_1(a733)
            & ~ c1_1(a733) ) )
        & ( ! [X39] :
              ( ndr1_0
             => ( ~ c3_1(X39)
                | c0_1(X39)
                | ~ c1_1(X39) ) )
          | ! [X40] :
              ( ndr1_0
             => ( ~ c3_1(X40)
                | c2_1(X40)
                | ~ c1_1(X40) ) )
          | ! [X38] :
              ( ndr1_0
             => ( ~ c3_1(X38)
                | c0_1(X38)
                | c2_1(X38) ) ) )
        & ( ~ hskp2
          | ( ~ c2_1(a732)
            & ndr1_0
            & ~ c3_1(a732)
            & ~ c0_1(a732) ) )
        & ( ! [X76] :
              ( ndr1_0
             => ( ~ c1_1(X76)
                | ~ c0_1(X76)
                | ~ c2_1(X76) ) )
          | ! [X75] :
              ( ndr1_0
             => ( c3_1(X75)
                | ~ c0_1(X75)
                | c2_1(X75) ) )
          | ! [X74] :
              ( ndr1_0
             => ( ~ c2_1(X74)
                | c1_1(X74)
                | c3_1(X74) ) ) )
        & ( ( c1_1(a798)
            & ~ c3_1(a798)
            & c0_1(a798)
            & ndr1_0 )
          | ~ hskp23 )
        & ( hskp11
          | ! [X34] :
              ( ndr1_0
             => ( c0_1(X34)
                | c2_1(X34)
                | ~ c1_1(X34) ) )
          | ! [X35] :
              ( ndr1_0
             => ( c2_1(X35)
                | ~ c3_1(X35)
                | ~ c1_1(X35) ) ) )
        & ( ! [X89] :
              ( ndr1_0
             => ( ~ c2_1(X89)
                | ~ c0_1(X89)
                | ~ c3_1(X89) ) )
          | hskp21 )
        & ( hskp16
          | hskp22
          | ! [X91] :
              ( ndr1_0
             => ( ~ c2_1(X91)
                | ~ c3_1(X91)
                | ~ c1_1(X91) ) ) )
        & ( ! [X61] :
              ( ndr1_0
             => ( ~ c1_1(X61)
                | ~ c3_1(X61)
                | c0_1(X61) ) )
          | hskp2
          | ! [X62] :
              ( ndr1_0
             => ( c2_1(X62)
                | ~ c0_1(X62)
                | c1_1(X62) ) ) )
        & ( hskp5
          | ! [X13] :
              ( ndr1_0
             => ( c3_1(X13)
                | c0_1(X13)
                | c1_1(X13) ) )
          | hskp4 )
        & ( hskp11
          | hskp18
          | ! [X86] :
              ( ndr1_0
             => ( c3_1(X86)
                | ~ c0_1(X86)
                | ~ c1_1(X86) ) ) )
        & ( ( ndr1_0
            & c0_1(a729)
            & c2_1(a729)
            & c3_1(a729) )
          | ~ hskp25 )
        & ( hskp11
          | ! [X47] :
              ( ndr1_0
             => ( c0_1(X47)
                | c2_1(X47)
                | ~ c3_1(X47) ) )
          | hskp27 )
        & ( ! [X55] :
              ( ndr1_0
             => ( c3_1(X55)
                | c2_1(X55)
                | ~ c1_1(X55) ) )
          | ! [X54] :
              ( ndr1_0
             => ( c0_1(X54)
                | ~ c2_1(X54)
                | c3_1(X54) ) )
          | hskp14 )
        & ( ! [X60] :
              ( ndr1_0
             => ( ~ c2_1(X60)
                | ~ c1_1(X60)
                | c0_1(X60) ) )
          | hskp27
          | hskp17 )
        & ( ( c3_1(a735)
            & ~ c0_1(a735)
            & ndr1_0
            & c2_1(a735) )
          | ~ hskp5 )
        & ( ~ hskp0
          | ( c0_1(a730)
            & ~ c1_1(a730)
            & ndr1_0
            & c3_1(a730) ) )
        & ( hskp11
          | ! [X88] :
              ( ndr1_0
             => ( ~ c2_1(X88)
                | ~ c0_1(X88)
                | ~ c3_1(X88) ) )
          | hskp28 )
        & ( ! [X49] :
              ( ndr1_0
             => ( ~ c3_1(X49)
                | c2_1(X49)
                | c0_1(X49) ) )
          | hskp12
          | hskp7 )
        & ( ! [X16] :
              ( ndr1_0
             => ( ~ c2_1(X16)
                | c1_1(X16)
                | c0_1(X16) ) )
          | hskp6
          | hskp26 )
        & ( hskp4
          | hskp6
          | ! [X50] :
              ( ndr1_0
             => ( c2_1(X50)
                | c0_1(X50)
                | ~ c3_1(X50) ) ) )
        & ( ~ hskp20
          | ( ndr1_0
            & ~ c3_1(a779)
            & c2_1(a779)
            & ~ c1_1(a779) ) )
        & ( ! [X14] :
              ( ndr1_0
             => ( c1_1(X14)
                | c0_1(X14)
                | ~ c2_1(X14) ) )
          | hskp0
          | ! [X15] :
              ( ndr1_0
             => ( c0_1(X15)
                | c2_1(X15)
                | ~ c1_1(X15) ) ) )
        & ( hskp2
          | hskp21
          | ! [X90] :
              ( ndr1_0
             => ( ~ c2_1(X90)
                | ~ c0_1(X90)
                | ~ c3_1(X90) ) ) )
        & ( ~ hskp11
          | ( ~ c0_1(a749)
            & ndr1_0
            & ~ c1_1(a749)
            & ~ c3_1(a749) ) )
        & ( hskp19
          | hskp27
          | ! [X79] :
              ( ndr1_0
             => ( ~ c3_1(X79)
                | ~ c0_1(X79)
                | c1_1(X79) ) ) )
        & ( hskp1
          | hskp4
          | hskp7 )
        & ( ! [X3] :
              ( ndr1_0
             => ( c1_1(X3)
                | c0_1(X3)
                | c2_1(X3) ) )
          | hskp25
          | ! [X4] :
              ( ndr1_0
             => ( ~ c0_1(X4)
                | ~ c3_1(X4)
                | c1_1(X4) ) ) )
        & ( hskp23
          | hskp17
          | hskp21 )
        & ( ! [X63] :
              ( ndr1_0
             => ( ~ c2_1(X63)
                | ~ c3_1(X63)
                | c0_1(X63) ) )
          | ! [X64] :
              ( ndr1_0
             => ( ~ c3_1(X64)
                | ~ c2_1(X64)
                | c1_1(X64) ) )
          | ! [X65] :
              ( ndr1_0
             => ( c2_1(X65)
                | ~ c3_1(X65)
                | ~ c0_1(X65) ) ) )
        & ( ! [X19] :
              ( ndr1_0
             => ( c3_1(X19)
                | ~ c2_1(X19)
                | c0_1(X19) ) )
          | hskp7
          | ! [X18] :
              ( ndr1_0
             => ( c0_1(X18)
                | c1_1(X18)
                | ~ c3_1(X18) ) ) )
        & ( ! [X10] :
              ( ndr1_0
             => ( c1_1(X10)
                | c0_1(X10)
                | c3_1(X10) ) )
          | ! [X12] :
              ( ndr1_0
             => ( ~ c0_1(X12)
                | ~ c1_1(X12)
                | ~ c3_1(X12) ) )
          | ! [X11] :
              ( ndr1_0
             => ( c2_1(X11)
                | ~ c0_1(X11)
                | ~ c1_1(X11) ) ) )
        & ( ~ hskp14
          | ( c0_1(a762)
            & ~ c2_1(a762)
            & ~ c1_1(a762)
            & ndr1_0 ) )
        & ( ~ hskp16
          | ( c2_1(a764)
            & c0_1(a764)
            & ndr1_0
            & ~ c3_1(a764) ) )
        & ( ~ hskp6
          | ( c1_1(a738)
            & ~ c2_1(a738)
            & ndr1_0
            & ~ c0_1(a738) ) )
        & ( ( ~ c0_1(a734)
            & ndr1_0
            & c1_1(a734)
            & ~ c3_1(a734) )
          | ~ hskp4 )
        & ( ( c1_1(a784)
            & c0_1(a784)
            & ndr1_0
            & c3_1(a784) )
          | ~ hskp28 )
        & ( hskp13
          | ! [X51] :
              ( ndr1_0
             => ( ~ c1_1(X51)
                | c0_1(X51)
                | c3_1(X51) ) )
          | ! [X52] :
              ( ndr1_0
             => ( ~ c0_1(X52)
                | c2_1(X52)
                | ~ c1_1(X52) ) ) )
        & ( ~ hskp26
          | ( c1_1(a737)
            & c2_1(a737)
            & c3_1(a737)
            & ndr1_0 ) )
        & ( hskp26
          | ! [X68] :
              ( ndr1_0
             => ( ~ c2_1(X68)
                | ~ c0_1(X68)
                | ~ c3_1(X68) ) )
          | ! [X67] :
              ( ndr1_0
             => ( c1_1(X67)
                | c2_1(X67)
                | ~ c0_1(X67) ) ) )
        & ( hskp1
          | ! [X85] :
              ( ndr1_0
             => ( ~ c2_1(X85)
                | ~ c3_1(X85)
                | ~ c0_1(X85) ) )
          | ! [X84] :
              ( ndr1_0
             => ( c3_1(X84)
                | ~ c0_1(X84)
                | ~ c1_1(X84) ) ) )
        & ( hskp18
          | ! [X78] :
              ( ndr1_0
             => ( ~ c1_1(X78)
                | c2_1(X78)
                | ~ c3_1(X78) ) )
          | ! [X77] :
              ( ndr1_0
             => ( c3_1(X77)
                | ~ c2_1(X77)
                | c1_1(X77) ) ) )
        & ( ! [X70] :
              ( ndr1_0
             => ( ~ c1_1(X70)
                | ~ c3_1(X70)
                | c2_1(X70) ) )
          | ! [X69] :
              ( ndr1_0
             => ( ~ c3_1(X69)
                | c1_1(X69)
                | c2_1(X69) ) )
          | hskp11 )
        & ( ! [X25] :
              ( ndr1_0
             => ( c0_1(X25)
                | c1_1(X25)
                | ~ c3_1(X25) ) )
          | hskp4
          | ! [X26] :
              ( ndr1_0
             => ( ~ c0_1(X26)
                | ~ c2_1(X26)
                | c1_1(X26) ) ) )
        & ( ! [X44] :
              ( ndr1_0
             => ( c2_1(X44)
                | ~ c3_1(X44)
                | c0_1(X44) ) )
          | ! [X46] :
              ( ndr1_0
             => ( ~ c1_1(X46)
                | ~ c0_1(X46)
                | c2_1(X46) ) )
          | ! [X45] :
              ( ndr1_0
             => ( c1_1(X45)
                | c3_1(X45)
                | ~ c2_1(X45) ) ) )
        & ( ( c3_1(a731)
            & ~ c2_1(a731)
            & ndr1_0
            & c1_1(a731) )
          | ~ hskp1 )
        & ( ( c0_1(a793)
            & c3_1(a793)
            & ndr1_0
            & ~ c2_1(a793) )
          | ~ hskp21 )
        & ( hskp19
          | hskp18
          | hskp16 )
        & ( ~ hskp12
          | ( c2_1(a755)
            & ~ c3_1(a755)
            & c1_1(a755)
            & ndr1_0 ) )
        & ( hskp10
          | ! [X32] :
              ( ndr1_0
             => ( c2_1(X32)
                | c0_1(X32)
                | ~ c1_1(X32) ) )
          | ! [X33] :
              ( ndr1_0
             => ( ~ c2_1(X33)
                | ~ c3_1(X33)
                | c0_1(X33) ) ) )
        & ( ! [X21] :
              ( ndr1_0
             => ( ~ c1_1(X21)
                | ~ c2_1(X21)
                | c0_1(X21) ) )
          | hskp5
          | ! [X20] :
              ( ndr1_0
             => ( c0_1(X20)
                | ~ c3_1(X20)
                | c1_1(X20) ) ) )
        & ( ~ hskp22
          | ( ~ c2_1(a797)
            & ~ c1_1(a797)
            & c3_1(a797)
            & ndr1_0 ) )
        & ( hskp5
          | ! [X31] :
              ( ndr1_0
             => ( ~ c1_1(X31)
                | c0_1(X31)
                | c3_1(X31) ) )
          | ! [X30] :
              ( ndr1_0
             => ( ~ c1_1(X30)
                | c0_1(X30)
                | c2_1(X30) ) ) )
        & ( hskp0
          | ! [X53] :
              ( ndr1_0
             => ( ~ c1_1(X53)
                | c3_1(X53)
                | c0_1(X53) ) )
          | hskp9 )
        & ( hskp25
          | ! [X81] :
              ( ndr1_0
             => ( ~ c0_1(X81)
                | c2_1(X81)
                | c3_1(X81) ) )
          | hskp9 )
        & ( hskp8
          | ! [X27] :
              ( ndr1_0
             => ( c2_1(X27)
                | c3_1(X27)
                | c0_1(X27) ) )
          | ! [X28] :
              ( ndr1_0
             => ( c0_1(X28)
                | ~ c2_1(X28)
                | c3_1(X28) ) ) )
        & ( ! [X42] :
              ( ndr1_0
             => ( c0_1(X42)
                | ~ c3_1(X42)
                | ~ c2_1(X42) ) )
          | ! [X41] :
              ( ndr1_0
             => ( c2_1(X41)
                | c0_1(X41)
                | ~ c3_1(X41) ) )
          | ! [X43] :
              ( ndr1_0
             => ( ~ c1_1(X43)
                | ~ c2_1(X43)
                | ~ c3_1(X43) ) ) )
        & ( hskp23
          | hskp24
          | hskp13 )
        & ( ! [X82] :
              ( ndr1_0
             => ( c2_1(X82)
                | c3_1(X82)
                | ~ c0_1(X82) ) )
          | hskp16
          | hskp3 )
        & ( ( c3_1(a741)
            & c1_1(a741)
            & ~ c0_1(a741)
            & ndr1_0 )
          | ~ hskp7 )
        & ( hskp5
          | hskp27
          | ! [X71] :
              ( ndr1_0
             => ( c3_1(X71)
                | ~ c0_1(X71)
                | c1_1(X71) ) ) )
        & ( ! [X6] :
              ( ndr1_0
             => ( c0_1(X6)
                | c3_1(X6)
                | c1_1(X6) ) )
          | hskp2
          | ! [X7] :
              ( ndr1_0
             => ( c0_1(X7)
                | ~ c3_1(X7)
                | c2_1(X7) ) ) )
        & ( hskp2
          | hskp1
          | ! [X17] :
              ( ndr1_0
             => ( c0_1(X17)
                | c1_1(X17)
                | ~ c2_1(X17) ) ) )
        & ( hskp25
          | hskp6
          | ! [X48] :
              ( ndr1_0
             => ( c0_1(X48)
                | ~ c3_1(X48)
                | c2_1(X48) ) ) )
        & ( ~ hskp8
          | ( ~ c1_1(a744)
            & ndr1_0
            & ~ c0_1(a744)
            & c3_1(a744) ) )
        & ( ~ hskp27
          | ( c0_1(a750)
            & c2_1(a750)
            & c1_1(a750)
            & ndr1_0 ) )
        & ( hskp16
          | ! [X59] :
              ( ndr1_0
             => ( ~ c0_1(X59)
                | ~ c3_1(X59)
                | ~ c2_1(X59) ) )
          | ! [X58] :
              ( ndr1_0
             => ( c0_1(X58)
                | ~ c1_1(X58)
                | ~ c2_1(X58) ) ) )
        & ( ( ndr1_0
            & c2_1(a777)
            & ~ c3_1(a777)
            & ~ c0_1(a777) )
          | ~ hskp19 )
        & ( ! [X0] :
              ( ndr1_0
             => ( c1_1(X0)
                | c2_1(X0)
                | c0_1(X0) ) )
          | ! [X2] :
              ( ndr1_0
             => ( ~ c0_1(X2)
                | ~ c2_1(X2)
                | ~ c1_1(X2) ) )
          | ! [X1] :
              ( ndr1_0
             => ( ~ c2_1(X1)
                | c0_1(X1)
                | c3_1(X1) ) ) )
        & ( ~ hskp13
          | ( ndr1_0
            & c2_1(a759)
            & ~ c1_1(a759)
            & c3_1(a759) ) )
        & ( ! [X80] :
              ( ndr1_0
             => ( c1_1(X80)
                | ~ c3_1(X80)
                | ~ c2_1(X80) ) )
          | hskp5
          | hskp20 )
        & ( hskp0
          | hskp8 )
        & ( ( ndr1_0
            & ~ c1_1(a748)
            & ~ c0_1(a748)
            & ~ c2_1(a748) )
          | ~ hskp10 )
        & ( ( ~ c2_1(a775)
            & c1_1(a775)
            & ndr1_0
            & ~ c3_1(a775) )
          | ~ hskp18 )
        & ( hskp5
          | ! [X29] :
              ( ndr1_0
             => ( c0_1(X29)
                | c3_1(X29)
                | c2_1(X29) ) )
          | hskp9 )
        & ( ! [X37] :
              ( ndr1_0
             => ( ~ c0_1(X37)
                | ~ c1_1(X37)
                | ~ c2_1(X37) ) )
          | hskp27
          | ! [X36] :
              ( ndr1_0
             => ( c2_1(X36)
                | ~ c1_1(X36)
                | c0_1(X36) ) ) )
        & ( ~ hskp17
          | ( ndr1_0
            & ~ c0_1(a766)
            & c1_1(a766)
            & c2_1(a766) ) )
        & ( ! [X22] :
              ( ndr1_0
             => ( c0_1(X22)
                | ~ c3_1(X22)
                | c1_1(X22) ) )
          | ! [X23] :
              ( ndr1_0
             => ( c1_1(X23)
                | c3_1(X23)
                | ~ c0_1(X23) ) )
          | ! [X24] :
              ( ndr1_0
             => ( ~ c1_1(X24)
                | c2_1(X24)
                | ~ c0_1(X24) ) ) )
        & ( ! [X56] :
              ( ndr1_0
             => ( ~ c2_1(X56)
                | c3_1(X56)
                | c0_1(X56) ) )
          | ! [X57] :
              ( ndr1_0
             => ( ~ c3_1(X57)
                | ~ c0_1(X57)
                | ~ c1_1(X57) ) )
          | hskp15 )
        & ( hskp5
          | ! [X73] :
              ( ndr1_0
             => ( ~ c3_1(X73)
                | ~ c0_1(X73)
                | c1_1(X73) ) )
          | ! [X72] :
              ( ndr1_0
             => ( ~ c2_1(X72)
                | c3_1(X72)
                | c1_1(X72) ) ) )
        & ( hskp19
          | hskp5
          | hskp0 )
        & ( ~ hskp15
          | ( ~ c2_1(a763)
            & c0_1(a763)
            & ndr1_0
            & c1_1(a763) ) )
        & ( ! [X9] :
              ( ndr1_0
             => ( ~ c1_1(X9)
                | c3_1(X9)
                | c2_1(X9) ) )
          | hskp3
          | ! [X8] :
              ( ndr1_0
             => ( c1_1(X8)
                | c0_1(X8)
                | c3_1(X8) ) ) )
        & ( hskp0
          | hskp27
          | ! [X66] :
              ( ndr1_0
             => ( c1_1(X66)
                | c3_1(X66)
                | c2_1(X66) ) ) )
        & ( ! [X83] :
              ( ndr1_0
             => ( c3_1(X83)
                | ~ c1_1(X83)
                | c2_1(X83) ) )
          | hskp28
          | hskp16 )
        & ( hskp11
          | ! [X87] :
              ( ndr1_0
             => ( c3_1(X87)
                | ~ c1_1(X87)
                | ~ c2_1(X87) ) )
          | hskp27 ) ),
    inference(negated_conjecture,[],[f1]) ).

fof(f1,conjecture,
    ~ ( ( ( ~ c2_1(a802)
          & ~ c3_1(a802)
          & c0_1(a802)
          & ndr1_0 )
        | ~ hskp24 )
      & ( ( c3_1(a746)
          & ~ c2_1(a746)
          & ndr1_0
          & ~ c0_1(a746) )
        | ~ hskp9 )
      & ( ! [X5] :
            ( ndr1_0
           => ( c2_1(X5)
              | c1_1(X5)
              | c0_1(X5) ) )
        | hskp0
        | hskp1 )
      & ( ~ hskp3
        | ( c2_1(a733)
          & ndr1_0
          & ~ c0_1(a733)
          & ~ c1_1(a733) ) )
      & ( ! [X39] :
            ( ndr1_0
           => ( ~ c3_1(X39)
              | c0_1(X39)
              | ~ c1_1(X39) ) )
        | ! [X40] :
            ( ndr1_0
           => ( ~ c3_1(X40)
              | c2_1(X40)
              | ~ c1_1(X40) ) )
        | ! [X38] :
            ( ndr1_0
           => ( ~ c3_1(X38)
              | c0_1(X38)
              | c2_1(X38) ) ) )
      & ( ~ hskp2
        | ( ~ c2_1(a732)
          & ndr1_0
          & ~ c3_1(a732)
          & ~ c0_1(a732) ) )
      & ( ! [X76] :
            ( ndr1_0
           => ( ~ c1_1(X76)
              | ~ c0_1(X76)
              | ~ c2_1(X76) ) )
        | ! [X75] :
            ( ndr1_0
           => ( c3_1(X75)
              | ~ c0_1(X75)
              | c2_1(X75) ) )
        | ! [X74] :
            ( ndr1_0
           => ( ~ c2_1(X74)
              | c1_1(X74)
              | c3_1(X74) ) ) )
      & ( ( c1_1(a798)
          & ~ c3_1(a798)
          & c0_1(a798)
          & ndr1_0 )
        | ~ hskp23 )
      & ( hskp11
        | ! [X34] :
            ( ndr1_0
           => ( c0_1(X34)
              | c2_1(X34)
              | ~ c1_1(X34) ) )
        | ! [X35] :
            ( ndr1_0
           => ( c2_1(X35)
              | ~ c3_1(X35)
              | ~ c1_1(X35) ) ) )
      & ( ! [X89] :
            ( ndr1_0
           => ( ~ c2_1(X89)
              | ~ c0_1(X89)
              | ~ c3_1(X89) ) )
        | hskp21 )
      & ( hskp16
        | hskp22
        | ! [X91] :
            ( ndr1_0
           => ( ~ c2_1(X91)
              | ~ c3_1(X91)
              | ~ c1_1(X91) ) ) )
      & ( ! [X61] :
            ( ndr1_0
           => ( ~ c1_1(X61)
              | ~ c3_1(X61)
              | c0_1(X61) ) )
        | hskp2
        | ! [X62] :
            ( ndr1_0
           => ( c2_1(X62)
              | ~ c0_1(X62)
              | c1_1(X62) ) ) )
      & ( hskp5
        | ! [X13] :
            ( ndr1_0
           => ( c3_1(X13)
              | c0_1(X13)
              | c1_1(X13) ) )
        | hskp4 )
      & ( hskp11
        | hskp18
        | ! [X86] :
            ( ndr1_0
           => ( c3_1(X86)
              | ~ c0_1(X86)
              | ~ c1_1(X86) ) ) )
      & ( ( ndr1_0
          & c0_1(a729)
          & c2_1(a729)
          & c3_1(a729) )
        | ~ hskp25 )
      & ( hskp11
        | ! [X47] :
            ( ndr1_0
           => ( c0_1(X47)
              | c2_1(X47)
              | ~ c3_1(X47) ) )
        | hskp27 )
      & ( ! [X55] :
            ( ndr1_0
           => ( c3_1(X55)
              | c2_1(X55)
              | ~ c1_1(X55) ) )
        | ! [X54] :
            ( ndr1_0
           => ( c0_1(X54)
              | ~ c2_1(X54)
              | c3_1(X54) ) )
        | hskp14 )
      & ( ! [X60] :
            ( ndr1_0
           => ( ~ c2_1(X60)
              | ~ c1_1(X60)
              | c0_1(X60) ) )
        | hskp27
        | hskp17 )
      & ( ( c3_1(a735)
          & ~ c0_1(a735)
          & ndr1_0
          & c2_1(a735) )
        | ~ hskp5 )
      & ( ~ hskp0
        | ( c0_1(a730)
          & ~ c1_1(a730)
          & ndr1_0
          & c3_1(a730) ) )
      & ( hskp11
        | ! [X88] :
            ( ndr1_0
           => ( ~ c2_1(X88)
              | ~ c0_1(X88)
              | ~ c3_1(X88) ) )
        | hskp28 )
      & ( ! [X49] :
            ( ndr1_0
           => ( ~ c3_1(X49)
              | c2_1(X49)
              | c0_1(X49) ) )
        | hskp12
        | hskp7 )
      & ( ! [X16] :
            ( ndr1_0
           => ( ~ c2_1(X16)
              | c1_1(X16)
              | c0_1(X16) ) )
        | hskp6
        | hskp26 )
      & ( hskp4
        | hskp6
        | ! [X50] :
            ( ndr1_0
           => ( c2_1(X50)
              | c0_1(X50)
              | ~ c3_1(X50) ) ) )
      & ( ~ hskp20
        | ( ndr1_0
          & ~ c3_1(a779)
          & c2_1(a779)
          & ~ c1_1(a779) ) )
      & ( ! [X14] :
            ( ndr1_0
           => ( c1_1(X14)
              | c0_1(X14)
              | ~ c2_1(X14) ) )
        | hskp0
        | ! [X15] :
            ( ndr1_0
           => ( c0_1(X15)
              | c2_1(X15)
              | ~ c1_1(X15) ) ) )
      & ( hskp2
        | hskp21
        | ! [X90] :
            ( ndr1_0
           => ( ~ c2_1(X90)
              | ~ c0_1(X90)
              | ~ c3_1(X90) ) ) )
      & ( ~ hskp11
        | ( ~ c0_1(a749)
          & ndr1_0
          & ~ c1_1(a749)
          & ~ c3_1(a749) ) )
      & ( hskp19
        | hskp27
        | ! [X79] :
            ( ndr1_0
           => ( ~ c3_1(X79)
              | ~ c0_1(X79)
              | c1_1(X79) ) ) )
      & ( hskp1
        | hskp4
        | hskp7 )
      & ( ! [X3] :
            ( ndr1_0
           => ( c1_1(X3)
              | c0_1(X3)
              | c2_1(X3) ) )
        | hskp25
        | ! [X4] :
            ( ndr1_0
           => ( ~ c0_1(X4)
              | ~ c3_1(X4)
              | c1_1(X4) ) ) )
      & ( hskp23
        | hskp17
        | hskp21 )
      & ( ! [X63] :
            ( ndr1_0
           => ( ~ c2_1(X63)
              | ~ c3_1(X63)
              | c0_1(X63) ) )
        | ! [X64] :
            ( ndr1_0
           => ( ~ c3_1(X64)
              | ~ c2_1(X64)
              | c1_1(X64) ) )
        | ! [X65] :
            ( ndr1_0
           => ( c2_1(X65)
              | ~ c3_1(X65)
              | ~ c0_1(X65) ) ) )
      & ( ! [X19] :
            ( ndr1_0
           => ( c3_1(X19)
              | ~ c2_1(X19)
              | c0_1(X19) ) )
        | hskp7
        | ! [X18] :
            ( ndr1_0
           => ( c0_1(X18)
              | c1_1(X18)
              | ~ c3_1(X18) ) ) )
      & ( ! [X10] :
            ( ndr1_0
           => ( c1_1(X10)
              | c0_1(X10)
              | c3_1(X10) ) )
        | ! [X12] :
            ( ndr1_0
           => ( ~ c0_1(X12)
              | ~ c1_1(X12)
              | ~ c3_1(X12) ) )
        | ! [X11] :
            ( ndr1_0
           => ( c2_1(X11)
              | ~ c0_1(X11)
              | ~ c1_1(X11) ) ) )
      & ( ~ hskp14
        | ( c0_1(a762)
          & ~ c2_1(a762)
          & ~ c1_1(a762)
          & ndr1_0 ) )
      & ( ~ hskp16
        | ( c2_1(a764)
          & c0_1(a764)
          & ndr1_0
          & ~ c3_1(a764) ) )
      & ( ~ hskp6
        | ( c1_1(a738)
          & ~ c2_1(a738)
          & ndr1_0
          & ~ c0_1(a738) ) )
      & ( ( ~ c0_1(a734)
          & ndr1_0
          & c1_1(a734)
          & ~ c3_1(a734) )
        | ~ hskp4 )
      & ( ( c1_1(a784)
          & c0_1(a784)
          & ndr1_0
          & c3_1(a784) )
        | ~ hskp28 )
      & ( hskp13
        | ! [X51] :
            ( ndr1_0
           => ( ~ c1_1(X51)
              | c0_1(X51)
              | c3_1(X51) ) )
        | ! [X52] :
            ( ndr1_0
           => ( ~ c0_1(X52)
              | c2_1(X52)
              | ~ c1_1(X52) ) ) )
      & ( ~ hskp26
        | ( c1_1(a737)
          & c2_1(a737)
          & c3_1(a737)
          & ndr1_0 ) )
      & ( hskp26
        | ! [X68] :
            ( ndr1_0
           => ( ~ c2_1(X68)
              | ~ c0_1(X68)
              | ~ c3_1(X68) ) )
        | ! [X67] :
            ( ndr1_0
           => ( c1_1(X67)
              | c2_1(X67)
              | ~ c0_1(X67) ) ) )
      & ( hskp1
        | ! [X85] :
            ( ndr1_0
           => ( ~ c2_1(X85)
              | ~ c3_1(X85)
              | ~ c0_1(X85) ) )
        | ! [X84] :
            ( ndr1_0
           => ( c3_1(X84)
              | ~ c0_1(X84)
              | ~ c1_1(X84) ) ) )
      & ( hskp18
        | ! [X78] :
            ( ndr1_0
           => ( ~ c1_1(X78)
              | c2_1(X78)
              | ~ c3_1(X78) ) )
        | ! [X77] :
            ( ndr1_0
           => ( c3_1(X77)
              | ~ c2_1(X77)
              | c1_1(X77) ) ) )
      & ( ! [X70] :
            ( ndr1_0
           => ( ~ c1_1(X70)
              | ~ c3_1(X70)
              | c2_1(X70) ) )
        | ! [X69] :
            ( ndr1_0
           => ( ~ c3_1(X69)
              | c1_1(X69)
              | c2_1(X69) ) )
        | hskp11 )
      & ( ! [X25] :
            ( ndr1_0
           => ( c0_1(X25)
              | c1_1(X25)
              | ~ c3_1(X25) ) )
        | hskp4
        | ! [X26] :
            ( ndr1_0
           => ( ~ c0_1(X26)
              | ~ c2_1(X26)
              | c1_1(X26) ) ) )
      & ( ! [X44] :
            ( ndr1_0
           => ( c2_1(X44)
              | ~ c3_1(X44)
              | c0_1(X44) ) )
        | ! [X46] :
            ( ndr1_0
           => ( ~ c1_1(X46)
              | ~ c0_1(X46)
              | c2_1(X46) ) )
        | ! [X45] :
            ( ndr1_0
           => ( c1_1(X45)
              | c3_1(X45)
              | ~ c2_1(X45) ) ) )
      & ( ( c3_1(a731)
          & ~ c2_1(a731)
          & ndr1_0
          & c1_1(a731) )
        | ~ hskp1 )
      & ( ( c0_1(a793)
          & c3_1(a793)
          & ndr1_0
          & ~ c2_1(a793) )
        | ~ hskp21 )
      & ( hskp19
        | hskp18
        | hskp16 )
      & ( ~ hskp12
        | ( c2_1(a755)
          & ~ c3_1(a755)
          & c1_1(a755)
          & ndr1_0 ) )
      & ( hskp10
        | ! [X32] :
            ( ndr1_0
           => ( c2_1(X32)
              | c0_1(X32)
              | ~ c1_1(X32) ) )
        | ! [X33] :
            ( ndr1_0
           => ( ~ c2_1(X33)
              | ~ c3_1(X33)
              | c0_1(X33) ) ) )
      & ( ! [X21] :
            ( ndr1_0
           => ( ~ c1_1(X21)
              | ~ c2_1(X21)
              | c0_1(X21) ) )
        | hskp5
        | ! [X20] :
            ( ndr1_0
           => ( c0_1(X20)
              | ~ c3_1(X20)
              | c1_1(X20) ) ) )
      & ( ~ hskp22
        | ( ~ c2_1(a797)
          & ~ c1_1(a797)
          & c3_1(a797)
          & ndr1_0 ) )
      & ( hskp5
        | ! [X31] :
            ( ndr1_0
           => ( ~ c1_1(X31)
              | c0_1(X31)
              | c3_1(X31) ) )
        | ! [X30] :
            ( ndr1_0
           => ( ~ c1_1(X30)
              | c0_1(X30)
              | c2_1(X30) ) ) )
      & ( hskp0
        | ! [X53] :
            ( ndr1_0
           => ( ~ c1_1(X53)
              | c3_1(X53)
              | c0_1(X53) ) )
        | hskp9 )
      & ( hskp25
        | ! [X81] :
            ( ndr1_0
           => ( ~ c0_1(X81)
              | c2_1(X81)
              | c3_1(X81) ) )
        | hskp9 )
      & ( hskp8
        | ! [X27] :
            ( ndr1_0
           => ( c2_1(X27)
              | c3_1(X27)
              | c0_1(X27) ) )
        | ! [X28] :
            ( ndr1_0
           => ( c0_1(X28)
              | ~ c2_1(X28)
              | c3_1(X28) ) ) )
      & ( ! [X42] :
            ( ndr1_0
           => ( c0_1(X42)
              | ~ c3_1(X42)
              | ~ c2_1(X42) ) )
        | ! [X41] :
            ( ndr1_0
           => ( c2_1(X41)
              | c0_1(X41)
              | ~ c3_1(X41) ) )
        | ! [X43] :
            ( ndr1_0
           => ( ~ c1_1(X43)
              | ~ c2_1(X43)
              | ~ c3_1(X43) ) ) )
      & ( hskp23
        | hskp24
        | hskp13 )
      & ( ! [X82] :
            ( ndr1_0
           => ( c2_1(X82)
              | c3_1(X82)
              | ~ c0_1(X82) ) )
        | hskp16
        | hskp3 )
      & ( ( c3_1(a741)
          & c1_1(a741)
          & ~ c0_1(a741)
          & ndr1_0 )
        | ~ hskp7 )
      & ( hskp5
        | hskp27
        | ! [X71] :
            ( ndr1_0
           => ( c3_1(X71)
              | ~ c0_1(X71)
              | c1_1(X71) ) ) )
      & ( ! [X6] :
            ( ndr1_0
           => ( c0_1(X6)
              | c3_1(X6)
              | c1_1(X6) ) )
        | hskp2
        | ! [X7] :
            ( ndr1_0
           => ( c0_1(X7)
              | ~ c3_1(X7)
              | c2_1(X7) ) ) )
      & ( hskp2
        | hskp1
        | ! [X17] :
            ( ndr1_0
           => ( c0_1(X17)
              | c1_1(X17)
              | ~ c2_1(X17) ) ) )
      & ( hskp25
        | hskp6
        | ! [X48] :
            ( ndr1_0
           => ( c0_1(X48)
              | ~ c3_1(X48)
              | c2_1(X48) ) ) )
      & ( ~ hskp8
        | ( ~ c1_1(a744)
          & ndr1_0
          & ~ c0_1(a744)
          & c3_1(a744) ) )
      & ( ~ hskp27
        | ( c0_1(a750)
          & c2_1(a750)
          & c1_1(a750)
          & ndr1_0 ) )
      & ( hskp16
        | ! [X59] :
            ( ndr1_0
           => ( ~ c0_1(X59)
              | ~ c3_1(X59)
              | ~ c2_1(X59) ) )
        | ! [X58] :
            ( ndr1_0
           => ( c0_1(X58)
              | ~ c1_1(X58)
              | ~ c2_1(X58) ) ) )
      & ( ( ndr1_0
          & c2_1(a777)
          & ~ c3_1(a777)
          & ~ c0_1(a777) )
        | ~ hskp19 )
      & ( ! [X0] :
            ( ndr1_0
           => ( c1_1(X0)
              | c2_1(X0)
              | c0_1(X0) ) )
        | ! [X2] :
            ( ndr1_0
           => ( ~ c0_1(X2)
              | ~ c2_1(X2)
              | ~ c1_1(X2) ) )
        | ! [X1] :
            ( ndr1_0
           => ( ~ c2_1(X1)
              | c0_1(X1)
              | c3_1(X1) ) ) )
      & ( ~ hskp13
        | ( ndr1_0
          & c2_1(a759)
          & ~ c1_1(a759)
          & c3_1(a759) ) )
      & ( ! [X80] :
            ( ndr1_0
           => ( c1_1(X80)
              | ~ c3_1(X80)
              | ~ c2_1(X80) ) )
        | hskp5
        | hskp20 )
      & ( hskp0
        | hskp8 )
      & ( ( ndr1_0
          & ~ c1_1(a748)
          & ~ c0_1(a748)
          & ~ c2_1(a748) )
        | ~ hskp10 )
      & ( ( ~ c2_1(a775)
          & c1_1(a775)
          & ndr1_0
          & ~ c3_1(a775) )
        | ~ hskp18 )
      & ( hskp5
        | ! [X29] :
            ( ndr1_0
           => ( c0_1(X29)
              | c3_1(X29)
              | c2_1(X29) ) )
        | hskp9 )
      & ( ! [X37] :
            ( ndr1_0
           => ( ~ c0_1(X37)
              | ~ c1_1(X37)
              | ~ c2_1(X37) ) )
        | hskp27
        | ! [X36] :
            ( ndr1_0
           => ( c2_1(X36)
              | ~ c1_1(X36)
              | c0_1(X36) ) ) )
      & ( ~ hskp17
        | ( ndr1_0
          & ~ c0_1(a766)
          & c1_1(a766)
          & c2_1(a766) ) )
      & ( ! [X22] :
            ( ndr1_0
           => ( c0_1(X22)
              | ~ c3_1(X22)
              | c1_1(X22) ) )
        | ! [X23] :
            ( ndr1_0
           => ( c1_1(X23)
              | c3_1(X23)
              | ~ c0_1(X23) ) )
        | ! [X24] :
            ( ndr1_0
           => ( ~ c1_1(X24)
              | c2_1(X24)
              | ~ c0_1(X24) ) ) )
      & ( ! [X56] :
            ( ndr1_0
           => ( ~ c2_1(X56)
              | c3_1(X56)
              | c0_1(X56) ) )
        | ! [X57] :
            ( ndr1_0
           => ( ~ c3_1(X57)
              | ~ c0_1(X57)
              | ~ c1_1(X57) ) )
        | hskp15 )
      & ( hskp5
        | ! [X73] :
            ( ndr1_0
           => ( ~ c3_1(X73)
              | ~ c0_1(X73)
              | c1_1(X73) ) )
        | ! [X72] :
            ( ndr1_0
           => ( ~ c2_1(X72)
              | c3_1(X72)
              | c1_1(X72) ) ) )
      & ( hskp19
        | hskp5
        | hskp0 )
      & ( ~ hskp15
        | ( ~ c2_1(a763)
          & c0_1(a763)
          & ndr1_0
          & c1_1(a763) ) )
      & ( ! [X9] :
            ( ndr1_0
           => ( ~ c1_1(X9)
              | c3_1(X9)
              | c2_1(X9) ) )
        | hskp3
        | ! [X8] :
            ( ndr1_0
           => ( c1_1(X8)
              | c0_1(X8)
              | c3_1(X8) ) ) )
      & ( hskp0
        | hskp27
        | ! [X66] :
            ( ndr1_0
           => ( c1_1(X66)
              | c3_1(X66)
              | c2_1(X66) ) ) )
      & ( ! [X83] :
            ( ndr1_0
           => ( c3_1(X83)
              | ~ c1_1(X83)
              | c2_1(X83) ) )
        | hskp28
        | hskp16 )
      & ( hskp11
        | ! [X87] :
            ( ndr1_0
           => ( c3_1(X87)
              | ~ c1_1(X87)
              | ~ c2_1(X87) ) )
        | hskp27 ) ),
    file('/export/starexec/sandbox/benchmark/theBenchmark.p',co1) ).

fof(f938,plain,
    ( spl0_146
    | ~ spl0_69 ),
    inference(avatar_split_clause,[],[f183,f516,f935]) ).

fof(f516,plain,
    ( spl0_69
  <=> hskp3 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_69])]) ).

fof(f183,plain,
    ( ~ hskp3
    | c2_1(a733) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f933,plain,
    ( spl0_35
    | spl0_2
    | ~ spl0_1 ),
    inference(avatar_split_clause,[],[f68,f215,f219,f362]) ).

fof(f219,plain,
    ( spl0_2
  <=> hskp21 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_2])]) ).

fof(f68,plain,
    ! [X70] :
      ( ~ ndr1_0
      | hskp21
      | ~ c2_1(X70)
      | ~ c0_1(X70)
      | ~ c3_1(X70) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f932,plain,
    ( ~ spl0_145
    | ~ spl0_72 ),
    inference(avatar_split_clause,[],[f18,f531,f929]) ).

fof(f531,plain,
    ( spl0_72
  <=> hskp9 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_72])]) ).

fof(f18,plain,
    ( ~ hskp9
    | ~ c2_1(a746) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f923,plain,
    ( ~ spl0_1
    | spl0_7
    | spl0_19
    | spl0_45 ),
    inference(avatar_split_clause,[],[f185,f410,f293,f242,f215]) ).

fof(f242,plain,
    ( spl0_7
  <=> hskp5 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_7])]) ).

fof(f185,plain,
    ! [X73,X74] :
      ( c1_1(X73)
      | ~ c3_1(X74)
      | ~ c0_1(X74)
      | c3_1(X73)
      | ~ c2_1(X73)
      | hskp5
      | c1_1(X74)
      | ~ ndr1_0 ),
    inference(duplicate_literal_removal,[],[f58]) ).

fof(f58,plain,
    ! [X73,X74] :
      ( ~ c0_1(X74)
      | ~ ndr1_0
      | c3_1(X73)
      | hskp5
      | c1_1(X74)
      | c1_1(X73)
      | ~ c2_1(X73)
      | ~ ndr1_0
      | ~ c3_1(X74) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f915,plain,
    ( ~ spl0_142
    | ~ spl0_11 ),
    inference(avatar_split_clause,[],[f127,f257,f912]) ).

fof(f257,plain,
    ( spl0_11
  <=> hskp19 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_11])]) ).

fof(f127,plain,
    ( ~ hskp19
    | ~ c0_1(a777) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f909,plain,
    ( spl0_141
    | ~ spl0_30 ),
    inference(avatar_split_clause,[],[f139,f339,f906]) ).

fof(f339,plain,
    ( spl0_30
  <=> hskp27 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_30])]) ).

fof(f139,plain,
    ( ~ hskp27
    | c1_1(a750) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f904,plain,
    ( spl0_140
    | ~ spl0_28 ),
    inference(avatar_split_clause,[],[f45,f331,f901]) ).

fof(f331,plain,
    ( spl0_28
  <=> hskp16 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_28])]) ).

fof(f45,plain,
    ( ~ hskp16
    | c0_1(a764) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f894,plain,
    ( spl0_138
    | ~ spl0_7 ),
    inference(avatar_split_clause,[],[f149,f242,f891]) ).

fof(f149,plain,
    ( ~ hskp5
    | c3_1(a735) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f889,plain,
    ( ~ spl0_137
    | ~ spl0_76 ),
    inference(avatar_split_clause,[],[f151,f550,f886]) ).

fof(f550,plain,
    ( spl0_76
  <=> hskp13 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_76])]) ).

fof(f151,plain,
    ( ~ hskp13
    | ~ c1_1(a759) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f873,plain,
    ( ~ spl0_134
    | ~ spl0_67 ),
    inference(avatar_split_clause,[],[f67,f507,f870]) ).

fof(f67,plain,
    ( ~ hskp8
    | ~ c1_1(a744) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f867,plain,
    ( ~ spl0_133
    | ~ spl0_23 ),
    inference(avatar_split_clause,[],[f97,f309,f864]) ).

fof(f309,plain,
    ( spl0_23
  <=> hskp10 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_23])]) ).

fof(f97,plain,
    ( ~ hskp10
    | ~ c0_1(a748) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f862,plain,
    ( ~ spl0_30
    | spl0_132 ),
    inference(avatar_split_clause,[],[f141,f859,f339]) ).

fof(f141,plain,
    ( c0_1(a750)
    | ~ hskp27 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f857,plain,
    ( ~ spl0_131
    | ~ spl0_27 ),
    inference(avatar_split_clause,[],[f133,f327,f854]) ).

fof(f327,plain,
    ( spl0_27
  <=> hskp18 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_27])]) ).

fof(f133,plain,
    ( ~ hskp18
    | ~ c3_1(a775) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f847,plain,
    ( ~ spl0_129
    | ~ spl0_69 ),
    inference(avatar_split_clause,[],[f180,f516,f844]) ).

fof(f180,plain,
    ( ~ hskp3
    | ~ c1_1(a733) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f842,plain,
    ( ~ spl0_14
    | spl0_1 ),
    inference(avatar_split_clause,[],[f13,f215,f271]) ).

fof(f271,plain,
    ( spl0_14
  <=> hskp0 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_14])]) ).

fof(f13,plain,
    ( ndr1_0
    | ~ hskp0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f841,plain,
    ( spl0_128
    | ~ spl0_76 ),
    inference(avatar_split_clause,[],[f150,f550,f838]) ).

fof(f150,plain,
    ( ~ hskp13
    | c3_1(a759) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f835,plain,
    ( ~ spl0_59
    | ~ spl0_127 ),
    inference(avatar_split_clause,[],[f33,f832,f470]) ).

fof(f470,plain,
    ( spl0_59
  <=> hskp20 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_59])]) ).

fof(f33,plain,
    ( ~ c3_1(a779)
    | ~ hskp20 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f830,plain,
    ( ~ spl0_14
    | spl0_126 ),
    inference(avatar_split_clause,[],[f15,f827,f271]) ).

fof(f15,plain,
    ( c0_1(a730)
    | ~ hskp0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f820,plain,
    ( spl0_87
    | ~ spl0_1
    | spl0_103
    | spl0_95 ),
    inference(avatar_split_clause,[],[f189,f645,f687,f215,f604]) ).

fof(f189,plain,
    ! [X16,X14,X15] :
      ( ~ c1_1(X15)
      | ~ c1_1(X16)
      | ~ ndr1_0
      | c1_1(X14)
      | c2_1(X15)
      | ~ c0_1(X16)
      | c3_1(X14)
      | c0_1(X14)
      | ~ c0_1(X15)
      | ~ c3_1(X16) ),
    inference(duplicate_literal_removal,[],[f143]) ).

fof(f143,plain,
    ! [X16,X14,X15] :
      ( c3_1(X14)
      | ~ ndr1_0
      | c0_1(X14)
      | ~ c0_1(X16)
      | ~ c0_1(X15)
      | c2_1(X15)
      | ~ c1_1(X15)
      | ~ c3_1(X16)
      | ~ ndr1_0
      | ~ c1_1(X16)
      | ~ ndr1_0
      | c1_1(X14) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f818,plain,
    ( spl0_33
    | ~ spl0_1
    | spl0_85
    | spl0_71 ),
    inference(avatar_split_clause,[],[f190,f527,f595,f215,f354]) ).

fof(f354,plain,
    ( spl0_33
  <=> hskp2 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_33])]) ).

fof(f190,plain,
    ! [X44,X45] :
      ( c2_1(X44)
      | c1_1(X44)
      | ~ c1_1(X45)
      | ~ c3_1(X45)
      | ~ ndr1_0
      | hskp2
      | c0_1(X45)
      | ~ c0_1(X44) ),
    inference(duplicate_literal_removal,[],[f107]) ).

fof(f107,plain,
    ! [X44,X45] :
      ( ~ c0_1(X44)
      | ~ ndr1_0
      | hskp2
      | c0_1(X45)
      | c1_1(X44)
      | ~ c1_1(X45)
      | ~ c3_1(X45)
      | c2_1(X44)
      | ~ ndr1_0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f816,plain,
    ( spl0_28
    | ~ spl0_1
    | spl0_35
    | spl0_60 ),
    inference(avatar_split_clause,[],[f191,f475,f362,f215,f331]) ).

fof(f191,plain,
    ! [X6,X7] :
      ( ~ c1_1(X7)
      | ~ c3_1(X6)
      | ~ c2_1(X7)
      | ~ c2_1(X6)
      | ~ ndr1_0
      | c0_1(X7)
      | ~ c0_1(X6)
      | hskp16 ),
    inference(duplicate_literal_removal,[],[f167]) ).

fof(f167,plain,
    ! [X6,X7] :
      ( c0_1(X7)
      | ~ c1_1(X7)
      | ~ c3_1(X6)
      | hskp16
      | ~ ndr1_0
      | ~ c0_1(X6)
      | ~ c2_1(X6)
      | ~ c2_1(X7)
      | ~ ndr1_0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f808,plain,
    ( spl0_11
    | spl0_7
    | spl0_14 ),
    inference(avatar_split_clause,[],[f157,f271,f242,f257]) ).

fof(f157,plain,
    ( hskp0
    | hskp5
    | hskp19 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f807,plain,
    ( ~ spl0_11
    | spl0_123 ),
    inference(avatar_split_clause,[],[f129,f804,f257]) ).

fof(f129,plain,
    ( c2_1(a777)
    | ~ hskp19 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f802,plain,
    ( ~ spl0_1
    | spl0_14
    | spl0_80
    | spl0_9 ),
    inference(avatar_split_clause,[],[f194,f249,f568,f271,f215]) ).

fof(f194,plain,
    ! [X36,X35] :
      ( ~ c1_1(X36)
      | c0_1(X36)
      | c1_1(X35)
      | hskp0
      | c0_1(X35)
      | c2_1(X36)
      | ~ c2_1(X35)
      | ~ ndr1_0 ),
    inference(duplicate_literal_removal,[],[f113]) ).

fof(f113,plain,
    ! [X36,X35] :
      ( ~ c1_1(X36)
      | c2_1(X36)
      | hskp0
      | c1_1(X35)
      | ~ ndr1_0
      | ~ ndr1_0
      | ~ c2_1(X35)
      | c0_1(X36)
      | c0_1(X35) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f795,plain,
    ( ~ spl0_27
    | spl0_121 ),
    inference(avatar_split_clause,[],[f135,f792,f327]) ).

fof(f135,plain,
    ( c1_1(a775)
    | ~ hskp18 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f783,plain,
    ( spl0_119
    | ~ spl0_30 ),
    inference(avatar_split_clause,[],[f140,f339,f780]) ).

fof(f140,plain,
    ( ~ hskp27
    | c2_1(a750) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f776,plain,
    ( spl0_7
    | ~ spl0_1
    | spl0_59
    | spl0_97 ),
    inference(avatar_split_clause,[],[f112,f652,f470,f215,f242]) ).

fof(f112,plain,
    ! [X37] :
      ( ~ c2_1(X37)
      | hskp20
      | c1_1(X37)
      | ~ ndr1_0
      | ~ c3_1(X37)
      | hskp5 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f775,plain,
    ( ~ spl0_118
    | ~ spl0_72 ),
    inference(avatar_split_clause,[],[f16,f531,f772]) ).

fof(f16,plain,
    ( ~ hskp9
    | ~ c0_1(a746) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f770,plain,
    ( spl0_117
    | ~ spl0_28 ),
    inference(avatar_split_clause,[],[f46,f331,f767]) ).

fof(f46,plain,
    ( ~ hskp16
    | c2_1(a764) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f759,plain,
    ( spl0_27
    | ~ spl0_1
    | spl0_34
    | spl0_26 ),
    inference(avatar_split_clause,[],[f41,f322,f359,f215,f327]) ).

fof(f322,plain,
    ( spl0_26
  <=> hskp11 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_26])]) ).

fof(f41,plain,
    ! [X82] :
      ( hskp11
      | ~ c1_1(X82)
      | ~ c0_1(X82)
      | ~ ndr1_0
      | c3_1(X82)
      | hskp18 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f753,plain,
    ( ~ spl0_1
    | spl0_11
    | spl0_19
    | spl0_30 ),
    inference(avatar_split_clause,[],[f168,f339,f293,f257,f215]) ).

fof(f168,plain,
    ! [X5] :
      ( hskp27
      | ~ c3_1(X5)
      | hskp19
      | ~ c0_1(X5)
      | ~ ndr1_0
      | c1_1(X5) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f746,plain,
    ( ~ spl0_44
    | spl0_113 ),
    inference(avatar_split_clause,[],[f50,f743,f405]) ).

fof(f405,plain,
    ( spl0_44
  <=> hskp23 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_44])]) ).

fof(f50,plain,
    ( c1_1(a798)
    | ~ hskp23 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f736,plain,
    ( spl0_72
    | ~ spl0_1
    | spl0_21
    | spl0_46 ),
    inference(avatar_split_clause,[],[f155,f413,f299,f215,f531]) ).

fof(f299,plain,
    ( spl0_21
  <=> hskp25 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_21])]) ).

fof(f155,plain,
    ! [X9] :
      ( c2_1(X9)
      | hskp25
      | ~ ndr1_0
      | c3_1(X9)
      | ~ c0_1(X9)
      | hskp9 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f734,plain,
    ( ~ spl0_76
    | spl0_111 ),
    inference(avatar_split_clause,[],[f152,f731,f550]) ).

fof(f152,plain,
    ( c2_1(a759)
    | ~ hskp13 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f729,plain,
    ( ~ spl0_26
    | ~ spl0_110 ),
    inference(avatar_split_clause,[],[f164,f726,f322]) ).

fof(f164,plain,
    ( ~ c1_1(a749)
    | ~ hskp11 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f716,plain,
    ( ~ spl0_108
    | ~ spl0_28 ),
    inference(avatar_split_clause,[],[f43,f331,f713]) ).

fof(f43,plain,
    ( ~ hskp16
    | ~ c3_1(a764) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f711,plain,
    ( spl0_107
    | ~ spl0_21 ),
    inference(avatar_split_clause,[],[f124,f299,f708]) ).

fof(f124,plain,
    ( ~ hskp25
    | c0_1(a729) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f706,plain,
    ( ~ spl0_106
    | ~ spl0_67 ),
    inference(avatar_split_clause,[],[f65,f507,f703]) ).

fof(f65,plain,
    ( ~ hskp8
    | ~ c0_1(a744) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f700,plain,
    ( spl0_67
    | spl0_14 ),
    inference(avatar_split_clause,[],[f158,f271,f507]) ).

fof(f158,plain,
    ( hskp0
    | hskp8 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f695,plain,
    ( spl0_104
    | ~ spl0_12 ),
    inference(avatar_split_clause,[],[f170,f262,f692]) ).

fof(f262,plain,
    ( spl0_12
  <=> hskp1 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_12])]) ).

fof(f170,plain,
    ( ~ hskp1
    | c1_1(a731) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f685,plain,
    ( ~ spl0_49
    | ~ spl0_102 ),
    inference(avatar_split_clause,[],[f63,f682,f425]) ).

fof(f425,plain,
    ( spl0_49
  <=> hskp24 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_49])]) ).

fof(f63,plain,
    ( ~ c2_1(a802)
    | ~ hskp24 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f679,plain,
    ( spl0_101
    | ~ spl0_21 ),
    inference(avatar_split_clause,[],[f122,f299,f676]) ).

fof(f122,plain,
    ( ~ hskp25
    | c3_1(a729) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f667,plain,
    ( spl0_12
    | ~ spl0_1
    | spl0_14
    | spl0_20 ),
    inference(avatar_split_clause,[],[f114,f296,f271,f215,f262]) ).

fof(f114,plain,
    ! [X34] :
      ( c0_1(X34)
      | hskp0
      | ~ ndr1_0
      | hskp1
      | c2_1(X34)
      | c1_1(X34) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f665,plain,
    ( spl0_49
    | spl0_44
    | spl0_76 ),
    inference(avatar_split_clause,[],[f80,f550,f405,f425]) ).

fof(f80,plain,
    ( hskp13
    | hskp23
    | hskp24 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f664,plain,
    ( ~ spl0_2
    | ~ spl0_99 ),
    inference(avatar_split_clause,[],[f159,f661,f219]) ).

fof(f159,plain,
    ( ~ c2_1(a793)
    | ~ hskp21 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f654,plain,
    ( spl0_96
    | spl0_97
    | spl0_66
    | ~ spl0_1 ),
    inference(avatar_split_clause,[],[f199,f215,f503,f652,f649]) ).

fof(f199,plain,
    ! [X48,X46,X47] :
      ( ~ ndr1_0
      | ~ c2_1(X46)
      | c0_1(X46)
      | ~ c2_1(X48)
      | ~ c3_1(X48)
      | c1_1(X48)
      | ~ c0_1(X47)
      | ~ c3_1(X46)
      | ~ c3_1(X47)
      | c2_1(X47) ),
    inference(duplicate_literal_removal,[],[f106]) ).

fof(f106,plain,
    ! [X48,X46,X47] :
      ( ~ ndr1_0
      | ~ ndr1_0
      | ~ c0_1(X47)
      | ~ c3_1(X48)
      | ~ c2_1(X46)
      | ~ c3_1(X46)
      | c2_1(X47)
      | ~ c2_1(X48)
      | ~ c3_1(X47)
      | c0_1(X46)
      | ~ ndr1_0
      | c1_1(X48) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f647,plain,
    ( ~ spl0_1
    | spl0_17
    | spl0_45
    | spl0_95 ),
    inference(avatar_split_clause,[],[f200,f645,f410,f284,f215]) ).

fof(f200,plain,
    ! [X26,X27,X25] :
      ( c2_1(X26)
      | c1_1(X27)
      | c0_1(X25)
      | ~ c2_1(X27)
      | ~ c1_1(X26)
      | c3_1(X27)
      | c2_1(X25)
      | ~ c3_1(X25)
      | ~ ndr1_0
      | ~ c0_1(X26) ),
    inference(duplicate_literal_removal,[],[f126]) ).

fof(f126,plain,
    ! [X26,X27,X25] :
      ( c3_1(X27)
      | ~ ndr1_0
      | ~ c1_1(X26)
      | c1_1(X27)
      | c0_1(X25)
      | ~ c2_1(X27)
      | c2_1(X25)
      | ~ ndr1_0
      | c2_1(X26)
      | ~ ndr1_0
      | ~ c0_1(X26)
      | ~ c3_1(X25) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f638,plain,
    ( ~ spl0_7
    | ~ spl0_93 ),
    inference(avatar_split_clause,[],[f148,f635,f242]) ).

fof(f148,plain,
    ( ~ c0_1(a735)
    | ~ hskp5 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f632,plain,
    ( ~ spl0_92
    | ~ spl0_26 ),
    inference(avatar_split_clause,[],[f166,f322,f629]) ).

fof(f166,plain,
    ( ~ hskp11
    | ~ c0_1(a749) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f627,plain,
    ( ~ spl0_2
    | spl0_91 ),
    inference(avatar_split_clause,[],[f162,f624,f219]) ).

fof(f162,plain,
    ( c0_1(a793)
    | ~ hskp21 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f622,plain,
    ( ~ spl0_33
    | ~ spl0_90 ),
    inference(avatar_split_clause,[],[f76,f619,f354]) ).

fof(f76,plain,
    ( ~ c0_1(a732)
    | ~ hskp2 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f606,plain,
    ( spl0_69
    | ~ spl0_1
    | spl0_56
    | spl0_87 ),
    inference(avatar_split_clause,[],[f201,f604,f457,f215,f516]) ).

fof(f201,plain,
    ! [X83,X84] :
      ( c3_1(X84)
      | c2_1(X83)
      | ~ c1_1(X83)
      | ~ ndr1_0
      | c3_1(X83)
      | hskp3
      | c1_1(X84)
      | c0_1(X84) ),
    inference(duplicate_literal_removal,[],[f40]) ).

fof(f40,plain,
    ! [X83,X84] :
      ( c1_1(X84)
      | ~ c1_1(X83)
      | c2_1(X83)
      | ~ ndr1_0
      | c3_1(X83)
      | hskp3
      | ~ ndr1_0
      | c3_1(X84)
      | c0_1(X84) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f602,plain,
    ( ~ spl0_59
    | spl0_86 ),
    inference(avatar_split_clause,[],[f32,f599,f470]) ).

fof(f32,plain,
    ( c2_1(a779)
    | ~ hskp20 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f597,plain,
    ( spl0_79
    | ~ spl0_1
    | spl0_17
    | spl0_85 ),
    inference(avatar_split_clause,[],[f202,f595,f284,f215,f562]) ).

fof(f202,plain,
    ! [X88,X89,X87] :
      ( c0_1(X88)
      | ~ c3_1(X89)
      | ~ c1_1(X88)
      | ~ ndr1_0
      | c2_1(X87)
      | ~ c1_1(X87)
      | ~ c3_1(X88)
      | ~ c3_1(X87)
      | c0_1(X89)
      | c2_1(X89) ),
    inference(duplicate_literal_removal,[],[f21]) ).

fof(f21,plain,
    ! [X88,X89,X87] :
      ( c2_1(X89)
      | c2_1(X87)
      | ~ c1_1(X87)
      | ~ ndr1_0
      | c0_1(X89)
      | ~ c3_1(X88)
      | c0_1(X88)
      | ~ ndr1_0
      | ~ c3_1(X87)
      | ~ ndr1_0
      | ~ c1_1(X88)
      | ~ c3_1(X89) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f588,plain,
    ( ~ spl0_83
    | ~ spl0_33 ),
    inference(avatar_split_clause,[],[f79,f354,f585]) ).

fof(f79,plain,
    ( ~ hskp2
    | ~ c2_1(a732) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f577,plain,
    ( ~ spl0_81
    | ~ spl0_49 ),
    inference(avatar_split_clause,[],[f62,f425,f574]) ).

fof(f62,plain,
    ( ~ hskp24
    | ~ c3_1(a802) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f570,plain,
    ( ~ spl0_1
    | spl0_33
    | spl0_12
    | spl0_80 ),
    inference(avatar_split_clause,[],[f91,f568,f262,f354,f215]) ).

fof(f91,plain,
    ! [X60] :
      ( c0_1(X60)
      | hskp1
      | hskp2
      | ~ ndr1_0
      | ~ c2_1(X60)
      | c1_1(X60) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f564,plain,
    ( spl0_26
    | ~ spl0_1
    | spl0_78
    | spl0_79 ),
    inference(avatar_split_clause,[],[f204,f562,f559,f215,f322]) ).

fof(f204,plain,
    ! [X12,X13] :
      ( c2_1(X12)
      | c1_1(X13)
      | ~ ndr1_0
      | ~ c1_1(X12)
      | ~ c3_1(X13)
      | ~ c3_1(X12)
      | hskp11
      | c2_1(X13) ),
    inference(duplicate_literal_removal,[],[f144]) ).

fof(f144,plain,
    ! [X12,X13] :
      ( c2_1(X13)
      | c2_1(X12)
      | ~ c1_1(X12)
      | c1_1(X13)
      | hskp11
      | ~ c3_1(X13)
      | ~ c3_1(X12)
      | ~ ndr1_0
      | ~ ndr1_0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f557,plain,
    ( ~ spl0_1
    | spl0_7
    | spl0_77
    | spl0_60 ),
    inference(avatar_split_clause,[],[f205,f475,f555,f242,f215]) ).

fof(f205,plain,
    ! [X72,X71] :
      ( c0_1(X72)
      | ~ c2_1(X72)
      | ~ c3_1(X71)
      | c0_1(X71)
      | hskp5
      | c1_1(X71)
      | ~ c1_1(X72)
      | ~ ndr1_0 ),
    inference(duplicate_literal_removal,[],[f59]) ).

fof(f59,plain,
    ! [X72,X71] :
      ( hskp5
      | c1_1(X71)
      | ~ ndr1_0
      | ~ c1_1(X72)
      | c0_1(X72)
      | c0_1(X71)
      | ~ ndr1_0
      | ~ c3_1(X71)
      | ~ c2_1(X72) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f538,plain,
    ( ~ spl0_72
    | spl0_73 ),
    inference(avatar_split_clause,[],[f19,f535,f531]) ).

fof(f19,plain,
    ( c3_1(a746)
    | ~ hskp9 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f524,plain,
    ( ~ spl0_70
    | ~ spl0_44 ),
    inference(avatar_split_clause,[],[f49,f405,f521]) ).

fof(f49,plain,
    ( ~ hskp23
    | ~ c3_1(a798) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f519,plain,
    ( spl0_69
    | ~ spl0_1
    | spl0_28
    | spl0_46 ),
    inference(avatar_split_clause,[],[f22,f413,f331,f215,f516]) ).

fof(f22,plain,
    ! [X86] :
      ( ~ c0_1(X86)
      | hskp16
      | ~ ndr1_0
      | hskp3
      | c2_1(X86)
      | c3_1(X86) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f514,plain,
    ( ~ spl0_67
    | spl0_68 ),
    inference(avatar_split_clause,[],[f64,f511,f507]) ).

fof(f64,plain,
    ( c3_1(a744)
    | ~ hskp8 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f505,plain,
    ( ~ spl0_1
    | spl0_9
    | spl0_23
    | spl0_66 ),
    inference(avatar_split_clause,[],[f208,f503,f309,f249,f215]) ).

fof(f208,plain,
    ! [X58,X59] :
      ( c0_1(X58)
      | hskp10
      | c2_1(X59)
      | c0_1(X59)
      | ~ c2_1(X58)
      | ~ c1_1(X59)
      | ~ ndr1_0
      | ~ c3_1(X58) ),
    inference(duplicate_literal_removal,[],[f92]) ).

fof(f92,plain,
    ! [X58,X59] :
      ( ~ ndr1_0
      | c0_1(X59)
      | c2_1(X59)
      | ~ c3_1(X58)
      | hskp10
      | ~ ndr1_0
      | ~ c1_1(X59)
      | c0_1(X58)
      | ~ c2_1(X58) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f486,plain,
    ( spl0_62
    | ~ spl0_2 ),
    inference(avatar_split_clause,[],[f161,f219,f483]) ).

fof(f161,plain,
    ( ~ hskp21
    | c3_1(a793) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f481,plain,
    ( ~ spl0_1
    | spl0_61
    | spl0_20
    | spl0_47 ),
    inference(avatar_split_clause,[],[f209,f416,f296,f479,f215]) ).

fof(f209,plain,
    ! [X21,X22,X20] :
      ( ~ c1_1(X20)
      | c0_1(X21)
      | c1_1(X21)
      | ~ c0_1(X20)
      | c0_1(X22)
      | c2_1(X21)
      | ~ c2_1(X20)
      | ~ ndr1_0
      | c3_1(X22)
      | ~ c2_1(X22) ),
    inference(duplicate_literal_removal,[],[f132]) ).

fof(f132,plain,
    ! [X21,X22,X20] :
      ( ~ ndr1_0
      | ~ c0_1(X20)
      | c2_1(X21)
      | ~ ndr1_0
      | ~ c1_1(X20)
      | c1_1(X21)
      | c0_1(X22)
      | c0_1(X21)
      | ~ c2_1(X20)
      | ~ ndr1_0
      | ~ c2_1(X22)
      | c3_1(X22) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f473,plain,
    ( ~ spl0_58
    | ~ spl0_59 ),
    inference(avatar_split_clause,[],[f31,f470,f466]) ).

fof(f31,plain,
    ( ~ hskp20
    | ~ c1_1(a779) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f464,plain,
    ( ~ spl0_57
    | ~ spl0_23 ),
    inference(avatar_split_clause,[],[f98,f309,f461]) ).

fof(f98,plain,
    ( ~ hskp10
    | ~ c1_1(a748) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f455,plain,
    ( ~ spl0_55
    | ~ spl0_27 ),
    inference(avatar_split_clause,[],[f136,f327,f452]) ).

fof(f136,plain,
    ( ~ hskp18
    | ~ c2_1(a775) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f432,plain,
    ( ~ spl0_49
    | spl0_50 ),
    inference(avatar_split_clause,[],[f61,f429,f425]) ).

fof(f61,plain,
    ( c0_1(a802)
    | ~ hskp24 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f423,plain,
    ( spl0_48
    | ~ spl0_21 ),
    inference(avatar_split_clause,[],[f123,f299,f420]) ).

fof(f123,plain,
    ( ~ hskp25
    | c2_1(a729) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f418,plain,
    ( ~ spl0_1
    | spl0_45
    | spl0_46
    | spl0_47 ),
    inference(avatar_split_clause,[],[f210,f416,f413,f410,f215]) ).

fof(f210,plain,
    ! [X28,X29,X30] :
      ( ~ c1_1(X30)
      | ~ c0_1(X28)
      | ~ c2_1(X30)
      | c1_1(X29)
      | ~ ndr1_0
      | ~ c0_1(X30)
      | c3_1(X28)
      | c2_1(X28)
      | c3_1(X29)
      | ~ c2_1(X29) ),
    inference(duplicate_literal_removal,[],[f121]) ).

fof(f121,plain,
    ! [X28,X29,X30] :
      ( ~ c1_1(X30)
      | c3_1(X28)
      | ~ c2_1(X29)
      | c3_1(X29)
      | ~ c0_1(X30)
      | ~ c0_1(X28)
      | ~ c2_1(X30)
      | ~ ndr1_0
      | c1_1(X29)
      | ~ ndr1_0
      | ~ ndr1_0
      | c2_1(X28) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f408,plain,
    ( spl0_43
    | ~ spl0_44 ),
    inference(avatar_split_clause,[],[f48,f405,f401]) ).

fof(f48,plain,
    ( ~ hskp23
    | c0_1(a798) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f364,plain,
    ( ~ spl0_1
    | spl0_34
    | spl0_12
    | spl0_35 ),
    inference(avatar_split_clause,[],[f211,f362,f262,f359,f215]) ).

fof(f211,plain,
    ! [X38,X39] :
      ( ~ c3_1(X39)
      | hskp1
      | ~ c0_1(X39)
      | ~ c0_1(X38)
      | ~ c2_1(X39)
      | ~ ndr1_0
      | c3_1(X38)
      | ~ c1_1(X38) ),
    inference(duplicate_literal_removal,[],[f111]) ).

fof(f111,plain,
    ! [X38,X39] :
      ( ~ c2_1(X39)
      | ~ c0_1(X38)
      | ~ ndr1_0
      | ~ c3_1(X39)
      | ~ ndr1_0
      | c3_1(X38)
      | ~ c0_1(X39)
      | ~ c1_1(X38)
      | hskp1 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f352,plain,
    ( spl0_32
    | ~ spl0_7 ),
    inference(avatar_split_clause,[],[f146,f242,f349]) ).

fof(f146,plain,
    ( ~ hskp5
    | c2_1(a735) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f347,plain,
    ( spl0_31
    | ~ spl0_12 ),
    inference(avatar_split_clause,[],[f173,f262,f344]) ).

fof(f173,plain,
    ( ~ hskp1
    | c3_1(a731) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f342,plain,
    ( spl0_26
    | ~ spl0_1
    | spl0_29
    | spl0_30 ),
    inference(avatar_split_clause,[],[f104,f339,f336,f215,f322]) ).

fof(f104,plain,
    ! [X50] :
      ( hskp27
      | ~ c2_1(X50)
      | ~ ndr1_0
      | hskp11
      | c3_1(X50)
      | ~ c1_1(X50) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f334,plain,
    ( spl0_11
    | spl0_27
    | spl0_28 ),
    inference(avatar_split_clause,[],[f103,f331,f327,f257]) ).

fof(f103,plain,
    ( hskp16
    | hskp18
    | hskp19 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f325,plain,
    ( ~ spl0_25
    | ~ spl0_26 ),
    inference(avatar_split_clause,[],[f163,f322,f318]) ).

fof(f163,plain,
    ( ~ hskp11
    | ~ c3_1(a749) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f316,plain,
    ( ~ spl0_23
    | ~ spl0_24 ),
    inference(avatar_split_clause,[],[f96,f313,f309]) ).

fof(f96,plain,
    ( ~ c2_1(a748)
    | ~ hskp10 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f307,plain,
    ( ~ spl0_22
    | ~ spl0_14 ),
    inference(avatar_split_clause,[],[f14,f271,f304]) ).

fof(f14,plain,
    ( ~ hskp0
    | ~ c1_1(a730) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f302,plain,
    ( ~ spl0_1
    | spl0_19
    | spl0_20
    | spl0_21 ),
    inference(avatar_split_clause,[],[f212,f299,f296,f293,f215]) ).

fof(f212,plain,
    ! [X40,X41] :
      ( hskp25
      | c0_1(X41)
      | c1_1(X41)
      | c1_1(X40)
      | ~ c3_1(X40)
      | ~ ndr1_0
      | c2_1(X41)
      | ~ c0_1(X40) ),
    inference(duplicate_literal_removal,[],[f110]) ).

fof(f110,plain,
    ! [X40,X41] :
      ( ~ c0_1(X40)
      | hskp25
      | c0_1(X41)
      | ~ ndr1_0
      | ~ c3_1(X40)
      | c2_1(X41)
      | c1_1(X41)
      | ~ ndr1_0
      | c1_1(X40) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f291,plain,
    ( ~ spl0_12
    | ~ spl0_18 ),
    inference(avatar_split_clause,[],[f172,f288,f262]) ).

fof(f172,plain,
    ( ~ c2_1(a731)
    | ~ hskp1 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f274,plain,
    ( spl0_13
    | ~ spl0_14 ),
    inference(avatar_split_clause,[],[f12,f271,f267]) ).

fof(f12,plain,
    ( ~ hskp0
    | c3_1(a730) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f260,plain,
    ( ~ spl0_10
    | ~ spl0_11 ),
    inference(avatar_split_clause,[],[f128,f257,f253]) ).

fof(f128,plain,
    ( ~ hskp19
    | ~ c3_1(a777) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f251,plain,
    ( spl0_7
    | spl0_8
    | spl0_9
    | ~ spl0_1 ),
    inference(avatar_split_clause,[],[f213,f215,f249,f246,f242]) ).

fof(f213,plain,
    ! [X54,X53] :
      ( ~ ndr1_0
      | c0_1(X54)
      | c3_1(X53)
      | c2_1(X54)
      | c0_1(X53)
      | hskp5
      | ~ c1_1(X53)
      | ~ c1_1(X54) ),
    inference(duplicate_literal_removal,[],[f100]) ).

fof(f100,plain,
    ! [X54,X53] :
      ( c3_1(X53)
      | hskp5
      | ~ ndr1_0
      | ~ c1_1(X53)
      | c0_1(X53)
      | ~ c1_1(X54)
      | c0_1(X54)
      | ~ ndr1_0
      | c2_1(X54) ),
    inference(cnf_transformation,[],[f7]) ).

%------------------------------------------------------------------------------
%----ORIGINAL SYSTEM OUTPUT
% 0.07/0.13  % Problem    : SYN451+1 : TPTP v8.1.0. Released v2.1.0.
% 0.07/0.14  % Command    : vampire --input_syntax tptp --proof tptp --output_axiom_names on --mode portfolio --schedule snake_tptp_uns --cores 0 -t %d %s
% 0.15/0.35  % Computer : n018.cluster.edu
% 0.15/0.35  % Model    : x86_64 x86_64
% 0.15/0.35  % CPU      : Intel(R) Xeon(R) CPU E5-2620 v4 @ 2.10GHz
% 0.15/0.35  % Memory   : 8042.1875MB
% 0.15/0.35  % OS       : Linux 3.10.0-693.el7.x86_64
% 0.15/0.35  % CPULimit   : 300
% 0.15/0.35  % WCLimit    : 300
% 0.15/0.35  % DateTime   : Tue Aug 30 22:00:10 EDT 2022
% 0.15/0.35  % CPUTime    : 
% 0.21/0.57  % (22111)ott+21_1:1_erd=off:s2a=on:sac=on:sd=1:sgt=64:sos=on:ss=included:st=3.0:to=lpo:urr=on:i=99:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/99Mi)
% 0.21/0.57  % (22110)dis+1010_1:1_bs=on:ep=RS:erd=off:newcnf=on:nwc=10.0:s2a=on:sgt=32:ss=axioms:i=30:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/30Mi)
% 0.21/0.58  % (22103)lrs+10_1:32_br=off:nm=16:sd=2:ss=axioms:st=2.0:urr=on:i=51:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/51Mi)
% 0.21/0.58  % (22119)lrs-11_1:1_nm=0:sac=on:sd=4:ss=axioms:st=3.0:i=24:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/24Mi)
% 0.21/0.58  % (22102)lrs+10_1:4_av=off:bs=unit_only:bsr=unit_only:ep=RS:s2a=on:sos=on:sp=frequency:to=lpo:i=16:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/16Mi)
% 0.21/0.58  % (22118)dis+2_3:1_aac=none:abs=on:ep=R:lcm=reverse:nwc=10.0:sos=on:sp=const_frequency:spb=units:urr=ec_only:i=8:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/8Mi)
% 0.21/0.58  % (22094)lrs+10_1:1024_nm=0:nwc=5.0:ss=axioms:i=13:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/13Mi)
% 0.21/0.59  % (22096)dis+1010_1:50_awrs=decay:awrsf=128:nwc=10.0:s2pl=no:sp=frequency:ss=axioms:i=39:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/39Mi)
% 0.21/0.59  % (22118)Instruction limit reached!
% 0.21/0.59  % (22118)------------------------------
% 0.21/0.59  % (22118)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 0.21/0.60  % (22098)dis+10_1:1_newcnf=on:sgt=8:sos=on:ss=axioms:to=lpo:urr=on:i=49:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/49Mi)
% 0.21/0.60  % (22093)lrs+10_5:1_br=off:fde=none:nwc=3.0:sd=1:sgt=10:sos=on:ss=axioms:urr=on:i=51:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/51Mi)
% 0.21/0.61  % (22095)dis+21_1:1_av=off:sos=on:sp=frequency:ss=included:to=lpo:i=15:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/15Mi)
% 0.21/0.61  % (22118)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 0.21/0.61  % (22118)Termination reason: Unknown
% 0.21/0.61  % (22118)Termination phase: Saturation
% 0.21/0.61  
% 0.21/0.61  % (22118)Memory used [KB]: 6652
% 0.21/0.61  % (22118)Time elapsed: 0.009 s
% 0.21/0.61  % (22118)Instructions burned: 9 (million)
% 0.21/0.61  % (22118)------------------------------
% 0.21/0.61  % (22118)------------------------------
% 0.21/0.61  % (22119)Instruction limit reached!
% 0.21/0.61  % (22119)------------------------------
% 0.21/0.61  % (22119)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 0.21/0.61  % (22097)lrs+2_1:1_lcm=reverse:lma=on:sos=all:spb=goal_then_units:ss=included:urr=on:i=39:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/39Mi)
% 0.21/0.61  % (22113)dis+10_1:1_av=off:sos=on:sp=reverse_arity:ss=included:st=2.0:to=lpo:urr=ec_only:i=45:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/45Mi)
% 0.21/0.61  % (22102)Instruction limit reached!
% 0.21/0.61  % (22102)------------------------------
% 0.21/0.61  % (22102)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 0.21/0.61  % (22102)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 0.21/0.61  % (22102)Termination reason: Unknown
% 0.21/0.61  % (22102)Termination phase: Saturation
% 0.21/0.61  
% 0.21/0.61  % (22102)Memory used [KB]: 1918
% 0.21/0.61  % (22102)Time elapsed: 0.163 s
% 0.21/0.61  % (22102)Instructions burned: 16 (million)
% 0.21/0.61  % (22102)------------------------------
% 0.21/0.61  % (22102)------------------------------
% 0.21/0.62  % (22117)dis+21_1:1_aac=none:abs=on:er=known:fde=none:fsr=off:nwc=5.0:s2a=on:s2at=4.0:sp=const_frequency:to=lpo:urr=ec_only:i=25:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/25Mi)
% 0.21/0.62  % (22092)dis+1002_1:1_aac=none:bd=off:sac=on:sos=on:spb=units:i=3:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/3Mi)
% 0.21/0.62  % (22092)Instruction limit reached!
% 0.21/0.62  % (22092)------------------------------
% 0.21/0.62  % (22092)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 0.21/0.62  % (22092)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 0.21/0.62  % (22092)Termination reason: Unknown
% 0.21/0.62  % (22092)Termination phase: Preprocessing 2
% 0.21/0.62  
% 0.21/0.62  % (22092)Memory used [KB]: 1663
% 0.21/0.62  % (22092)Time elapsed: 0.004 s
% 0.21/0.62  % (22092)Instructions burned: 3 (million)
% 0.21/0.62  % (22092)------------------------------
% 0.21/0.62  % (22092)------------------------------
% 0.21/0.62  % (22105)lrs+10_1:1_drc=off:sp=reverse_frequency:spb=goal:to=lpo:i=7:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/7Mi)
% 0.21/0.62  % (22090)dis+1002_1:12_drc=off:fd=preordered:tgt=full:i=99978:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/99978Mi)
% 0.21/0.62  % (22104)lrs+10_1:1_ins=3:sp=reverse_frequency:spb=goal:to=lpo:i=3:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/3Mi)
% 0.21/0.62  % (22119)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 0.21/0.62  % (22119)Termination reason: Unknown
% 0.21/0.62  % (22119)Termination phase: Saturation
% 0.21/0.62  
% 0.21/0.62  % (22119)Memory used [KB]: 6780
% 0.21/0.62  % (22119)Time elapsed: 0.162 s
% 0.21/0.62  % (22119)Instructions burned: 24 (million)
% 0.21/0.62  % (22119)------------------------------
% 0.21/0.62  % (22119)------------------------------
% 1.93/0.62  % (22112)dis+1010_2:3_fs=off:fsr=off:nm=0:nwc=5.0:s2a=on:s2agt=32:i=82:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/82Mi)
% 1.93/0.62  % (22110)Instruction limit reached!
% 1.93/0.62  % (22110)------------------------------
% 1.93/0.62  % (22110)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.93/0.63  % (22109)dis-10_3:2_amm=sco:ep=RS:fsr=off:nm=10:sd=2:sos=on:ss=axioms:st=3.0:i=11:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/11Mi)
% 1.93/0.63  % (22105)Instruction limit reached!
% 1.93/0.63  % (22105)------------------------------
% 1.93/0.63  % (22105)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.93/0.63  % (22105)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.93/0.63  % (22105)Termination reason: Unknown
% 1.93/0.63  % (22105)Termination phase: Saturation
% 1.93/0.63  
% 1.93/0.63  % (22105)Memory used [KB]: 6524
% 1.93/0.63  % (22105)Time elapsed: 0.007 s
% 1.93/0.63  % (22105)Instructions burned: 8 (million)
% 1.93/0.63  % (22105)------------------------------
% 1.93/0.63  % (22105)------------------------------
% 1.93/0.63  % (22107)fmb+10_1:1_nm=2:i=3:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/3Mi)
% 1.93/0.63  % (22107)Instruction limit reached!
% 1.93/0.63  % (22107)------------------------------
% 1.93/0.63  % (22107)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.93/0.63  % (22107)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.93/0.63  % (22107)Termination reason: Unknown
% 1.93/0.63  % (22107)Termination phase: Naming
% 1.93/0.63  
% 1.93/0.63  % (22107)Memory used [KB]: 1663
% 1.93/0.63  % (22107)Time elapsed: 0.003 s
% 1.93/0.63  % (22107)Instructions burned: 3 (million)
% 1.93/0.63  % (22107)------------------------------
% 1.93/0.63  % (22107)------------------------------
% 1.93/0.63  % (22100)lrs+10_1:1_ep=R:lcm=predicate:lma=on:sos=all:spb=goal:ss=included:i=12:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/12Mi)
% 1.93/0.63  % (22110)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.93/0.63  % (22110)Termination reason: Unknown
% 1.93/0.63  % (22110)Termination phase: Saturation
% 1.93/0.63  
% 1.93/0.63  % (22110)Memory used [KB]: 7036
% 1.93/0.63  % (22110)Time elapsed: 0.195 s
% 1.93/0.63  % (22110)Instructions burned: 30 (million)
% 1.93/0.63  % (22110)------------------------------
% 1.93/0.63  % (22110)------------------------------
% 1.93/0.63  % (22104)Instruction limit reached!
% 1.93/0.63  % (22104)------------------------------
% 1.93/0.63  % (22104)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.93/0.63  % (22104)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.93/0.63  % (22104)Termination reason: Unknown
% 1.93/0.63  % (22104)Termination phase: shuffling
% 1.93/0.63  
% 1.93/0.63  % (22104)Memory used [KB]: 1663
% 1.93/0.63  % (22104)Time elapsed: 0.004 s
% 1.93/0.63  % (22104)Instructions burned: 3 (million)
% 1.93/0.63  % (22104)------------------------------
% 1.93/0.63  % (22104)------------------------------
% 1.93/0.63  % (22099)lrs+10_1:1_br=off:sos=on:ss=axioms:st=2.0:urr=on:i=33:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/33Mi)
% 1.93/0.63  % (22094)Instruction limit reached!
% 1.93/0.63  % (22094)------------------------------
% 1.93/0.63  % (22094)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.93/0.63  % (22094)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.93/0.63  % (22094)Termination reason: Unknown
% 1.93/0.63  % (22094)Termination phase: Saturation
% 1.93/0.63  
% 1.93/0.63  % (22094)Memory used [KB]: 6780
% 1.93/0.63  % (22094)Time elapsed: 0.186 s
% 1.93/0.63  % (22094)Instructions burned: 13 (million)
% 1.93/0.63  % (22094)------------------------------
% 1.93/0.63  % (22094)------------------------------
% 1.93/0.63  % (22114)dis+21_1:1_ep=RS:nwc=10.0:s2a=on:s2at=1.5:i=50:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/50Mi)
% 1.93/0.64  % (22101)lrs+10_1:2_br=off:nm=4:ss=included:urr=on:i=7:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/7Mi)
% 1.93/0.64  % (22091)lrs+10_1:1_gsp=on:sd=1:sgt=32:sos=on:ss=axioms:i=13:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/13Mi)
% 1.93/0.64  % (22101)Instruction limit reached!
% 1.93/0.64  % (22101)------------------------------
% 1.93/0.64  % (22101)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.93/0.64  % (22101)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.93/0.64  % (22101)Termination reason: Unknown
% 1.93/0.64  % (22101)Termination phase: Saturation
% 1.93/0.64  
% 1.93/0.64  % (22101)Memory used [KB]: 6396
% 1.93/0.64  % (22101)Time elapsed: 0.006 s
% 1.93/0.64  % (22101)Instructions burned: 7 (million)
% 1.93/0.64  % (22101)------------------------------
% 1.93/0.64  % (22101)------------------------------
% 1.93/0.64  % (22106)lrs+1011_1:1_fd=preordered:fsd=on:sos=on:thsq=on:thsqc=64:thsqd=32:uwa=ground:i=50:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/50Mi)
% 2.23/0.64  % (22115)lrs+11_1:1_plsq=on:plsqc=1:plsqr=32,1:ss=included:i=95:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/95Mi)
% 2.23/0.65  % (22116)lrs+1011_1:1_fd=preordered:fsd=on:sos=on:thsq=on:thsqc=64:thsqd=32:uwa=ground:i=99:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/99Mi)
% 2.23/0.65  % (22095)Instruction limit reached!
% 2.23/0.65  % (22095)------------------------------
% 2.23/0.65  % (22095)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 2.23/0.65  % (22095)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 2.23/0.65  % (22095)Termination reason: Unknown
% 2.23/0.65  % (22095)Termination phase: Saturation
% 2.23/0.65  
% 2.23/0.65  % (22095)Memory used [KB]: 1918
% 2.23/0.65  % (22095)Time elapsed: 0.156 s
% 2.23/0.65  % (22095)Instructions burned: 16 (million)
% 2.23/0.65  % (22095)------------------------------
% 2.23/0.65  % (22095)------------------------------
% 2.23/0.65  % (22109)Instruction limit reached!
% 2.23/0.65  % (22109)------------------------------
% 2.23/0.65  % (22109)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 2.23/0.65  % (22109)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 2.23/0.65  % (22109)Termination reason: Unknown
% 2.23/0.65  % (22109)Termination phase: Saturation
% 2.23/0.65  
% 2.23/0.65  % (22109)Memory used [KB]: 6780
% 2.23/0.65  % (22109)Time elapsed: 0.222 s
% 2.23/0.65  % (22109)Instructions burned: 12 (million)
% 2.23/0.65  % (22109)------------------------------
% 2.23/0.65  % (22109)------------------------------
% 2.23/0.66  % (22108)ott+1010_1:1_sd=2:sos=on:sp=occurrence:ss=axioms:urr=on:i=2:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/2Mi)
% 2.23/0.66  % (22100)Instruction limit reached!
% 2.23/0.66  % (22100)------------------------------
% 2.23/0.66  % (22100)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 2.23/0.66  % (22100)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 2.23/0.66  % (22100)Termination reason: Unknown
% 2.23/0.66  % (22100)Termination phase: Saturation
% 2.23/0.66  
% 2.23/0.66  % (22100)Memory used [KB]: 6780
% 2.23/0.66  % (22100)Time elapsed: 0.225 s
% 2.23/0.66  % (22100)Instructions burned: 12 (million)
% 2.23/0.66  % (22100)------------------------------
% 2.23/0.66  % (22100)------------------------------
% 2.23/0.66  % (22091)Instruction limit reached!
% 2.23/0.66  % (22091)------------------------------
% 2.23/0.66  % (22091)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 2.23/0.66  % (22091)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 2.23/0.66  % (22091)Termination reason: Unknown
% 2.23/0.66  % (22091)Termination phase: Saturation
% 2.23/0.66  
% 2.23/0.66  % (22091)Memory used [KB]: 6780
% 2.23/0.66  % (22091)Time elapsed: 0.231 s
% 2.23/0.66  % (22091)Instructions burned: 13 (million)
% 2.23/0.66  % (22091)------------------------------
% 2.23/0.66  % (22091)------------------------------
% 2.36/0.68  % (22108)Instruction limit reached!
% 2.36/0.68  % (22108)------------------------------
% 2.36/0.68  % (22108)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 2.36/0.68  % (22108)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 2.36/0.68  % (22108)Termination reason: Unknown
% 2.36/0.68  % (22108)Termination phase: Unused predicate definition removal
% 2.36/0.68  
% 2.36/0.68  % (22108)Memory used [KB]: 1663
% 2.36/0.68  % (22108)Time elapsed: 0.004 s
% 2.36/0.68  % (22108)Instructions burned: 3 (million)
% 2.36/0.68  % (22108)------------------------------
% 2.36/0.68  % (22108)------------------------------
% 2.36/0.68  % (22103)Instruction limit reached!
% 2.36/0.68  % (22103)------------------------------
% 2.36/0.68  % (22103)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 2.36/0.68  % (22103)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 2.36/0.68  % (22103)Termination reason: Unknown
% 2.36/0.68  % (22103)Termination phase: Saturation
% 2.36/0.68  
% 2.36/0.68  % (22103)Memory used [KB]: 7675
% 2.36/0.68  % (22103)Time elapsed: 0.241 s
% 2.36/0.68  % (22103)Instructions burned: 51 (million)
% 2.36/0.68  % (22103)------------------------------
% 2.36/0.68  % (22103)------------------------------
% 2.36/0.69  % (22096)Instruction limit reached!
% 2.36/0.69  % (22096)------------------------------
% 2.36/0.69  % (22096)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 2.36/0.69  % (22096)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 2.36/0.69  % (22096)Termination reason: Unknown
% 2.36/0.69  % (22096)Termination phase: Saturation
% 2.36/0.69  
% 2.36/0.69  % (22096)Memory used [KB]: 7164
% 2.36/0.69  % (22096)Time elapsed: 0.255 s
% 2.36/0.69  % (22096)Instructions burned: 40 (million)
% 2.36/0.69  % (22096)------------------------------
% 2.36/0.69  % (22096)------------------------------
% 2.36/0.69  % (22112)First to succeed.
% 2.36/0.70  % (22117)Instruction limit reached!
% 2.36/0.70  % (22117)------------------------------
% 2.36/0.70  % (22117)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 2.36/0.70  % (22117)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 2.36/0.70  % (22117)Termination reason: Unknown
% 2.36/0.70  % (22117)Termination phase: Saturation
% 2.36/0.70  
% 2.36/0.70  % (22117)Memory used [KB]: 6908
% 2.36/0.70  % (22117)Time elapsed: 0.247 s
% 2.36/0.70  % (22117)Instructions burned: 25 (million)
% 2.36/0.70  % (22117)------------------------------
% 2.36/0.70  % (22117)------------------------------
% 2.36/0.71  % (22099)Instruction limit reached!
% 2.36/0.71  % (22099)------------------------------
% 2.36/0.71  % (22099)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 2.36/0.72  % (22099)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 2.36/0.72  % (22099)Termination reason: Unknown
% 2.36/0.72  % (22099)Termination phase: Saturation
% 2.36/0.72  
% 2.36/0.72  % (22099)Memory used [KB]: 7291
% 2.36/0.72  % (22099)Time elapsed: 0.275 s
% 2.36/0.72  % (22099)Instructions burned: 33 (million)
% 2.36/0.72  % (22099)------------------------------
% 2.36/0.72  % (22099)------------------------------
% 2.58/0.73  % (22097)Instruction limit reached!
% 2.58/0.73  % (22097)------------------------------
% 2.58/0.73  % (22097)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 2.58/0.73  % (22113)Instruction limit reached!
% 2.58/0.73  % (22113)------------------------------
% 2.58/0.73  % (22113)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 2.58/0.73  % (22113)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 2.58/0.73  % (22113)Termination reason: Unknown
% 2.58/0.73  % (22113)Termination phase: Saturation
% 2.58/0.73  
% 2.58/0.73  % (22113)Memory used [KB]: 2046
% 2.58/0.73  % (22113)Time elapsed: 0.252 s
% 2.58/0.73  % (22113)Instructions burned: 45 (million)
% 2.58/0.73  % (22113)------------------------------
% 2.58/0.73  % (22113)------------------------------
% 2.58/0.74  % (22097)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 2.58/0.74  % (22097)Termination reason: Unknown
% 2.58/0.74  % (22097)Termination phase: Saturation
% 2.58/0.74  
% 2.58/0.74  % (22097)Memory used [KB]: 7547
% 2.58/0.74  % (22097)Time elapsed: 0.249 s
% 2.58/0.74  % (22097)Instructions burned: 40 (million)
% 2.58/0.74  % (22097)------------------------------
% 2.58/0.74  % (22097)------------------------------
% 2.58/0.74  % (22098)Instruction limit reached!
% 2.58/0.74  % (22098)------------------------------
% 2.58/0.74  % (22098)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 2.58/0.75  % (22098)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 2.58/0.75  % (22098)Termination reason: Unknown
% 2.58/0.75  % (22098)Termination phase: Saturation
% 2.58/0.75  
% 2.58/0.75  % (22098)Memory used [KB]: 7547
% 2.58/0.75  % (22098)Time elapsed: 0.306 s
% 2.58/0.75  % (22098)Instructions burned: 49 (million)
% 2.58/0.75  % (22098)------------------------------
% 2.58/0.75  % (22098)------------------------------
% 2.58/0.75  % (22093)Instruction limit reached!
% 2.58/0.75  % (22093)------------------------------
% 2.58/0.75  % (22093)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 2.58/0.75  % (22112)Refutation found. Thanks to Tanya!
% 2.58/0.75  % SZS status Theorem for theBenchmark
% 2.58/0.75  % SZS output start Proof for theBenchmark
% See solution above
% 2.72/0.76  % (22112)------------------------------
% 2.72/0.76  % (22112)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 2.72/0.76  % (22112)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 2.72/0.76  % (22112)Termination reason: Refutation
% 2.72/0.76  
% 2.72/0.76  % (22112)Memory used [KB]: 8315
% 2.72/0.76  % (22112)Time elapsed: 0.261 s
% 2.72/0.76  % (22112)Instructions burned: 41 (million)
% 2.72/0.76  % (22112)------------------------------
% 2.72/0.76  % (22112)------------------------------
% 2.72/0.76  % (22089)Success in time 0.384 s
%------------------------------------------------------------------------------