TSTP Solution File: HWV089+1 by E-SAT---3.1

View Problem - Process Solution

%------------------------------------------------------------------------------
% File     : E-SAT---3.1
% Problem  : HWV089+1 : TPTP v8.1.2. Released v6.1.0.
% Transfm  : none
% Format   : tptp:raw
% Command  : run_E %s %d THM

% Computer : n026.cluster.edu
% Model    : x86_64 x86_64
% CPU      : Intel(R) Xeon(R) CPU E5-2620 v4 2.10GHz
% Memory   : 8042.1875MB
% OS       : Linux 3.10.0-693.el7.x86_64
% CPULimit : 2400s
% WCLimit  : 300s
% DateTime : Tue Oct 10 17:58:37 EDT 2023

% Result   : Theorem 8.89s 2.91s
% Output   : CNFRefutation 8.89s
% Verified : 
% SZS Type : Refutation
%            Derivation depth      :    5
%            Number of leaves      :   11
% Syntax   : Number of formulae    :   41 (  10 unt;   0 def)
%            Number of atoms       :  105 (   0 equ)
%            Maximal formula atoms :    7 (   2 avg)
%            Number of connectives :  109 (  45   ~;  34   |;  16   &)
%                                         (  12 <=>;   2  =>;   0  <=;   0 <~>)
%            Maximal formula depth :    7 (   4 avg)
%            Maximal term depth    :    1 (   1 avg)
%            Number of predicates  :   13 (  11 usr;   2 prp; 0-2 aty)
%            Number of functors    :    4 (   4 usr;   4 con; 0-0 aty)
%            Number of variables   :   38 (   3 sgn;  27   !;   0   ?)

% Comments : 
%------------------------------------------------------------------------------
fof(addZeroExtensionConstraint_5,axiom,
    ! [X2] : ~ v3684(X2,bitIndex5),
    file('/export/starexec/sandbox2/tmp/tmp.FP1SE4XCX8/E---3.1_5708.p',addZeroExtensionConstraint_5) ).

fof(writeUnaryOperator_345,axiom,
    ! [X2] :
      ( ~ v3677(X2)
    <=> v3678(X2) ),
    file('/export/starexec/sandbox2/tmp/tmp.FP1SE4XCX8/E---3.1_5708.p',writeUnaryOperator_345) ).

fof(writeUnaryOperator_347,axiom,
    ! [X2] :
      ( ~ v4(X2)
    <=> v3674(X2) ),
    file('/export/starexec/sandbox2/tmp/tmp.FP1SE4XCX8/E---3.1_5708.p',writeUnaryOperator_347) ).

fof(writeUnaryOperator_346,axiom,
    ! [X2] :
      ( ~ v3674(X2)
    <=> v3675(X2) ),
    file('/export/starexec/sandbox2/tmp/tmp.FP1SE4XCX8/E---3.1_5708.p',writeUnaryOperator_346) ).

fof(writeBinaryOperatorShiftedRanges_553,axiom,
    ! [X2] :
      ( v3680(X2)
    <=> ( v3682(X2)
        & v3684(X2,bitIndex5) ) ),
    file('/export/starexec/sandbox2/tmp/tmp.FP1SE4XCX8/E---3.1_5708.p',writeBinaryOperatorShiftedRanges_553) ).

fof(addAssertion,conjecture,
    ! [X2] :
      ( reachableState(X2)
     => v4(X2) ),
    file('/export/starexec/sandbox2/tmp/tmp.FP1SE4XCX8/E---3.1_5708.p',addAssertion) ).

fof(addAssignment_2618,axiom,
    ! [X2] :
      ( v3679(X2,bitIndex1)
    <=> $true ),
    file('/export/starexec/sandbox2/tmp/tmp.FP1SE4XCX8/E---3.1_5708.p',addAssignment_2618) ).

fof(addAssignment_2619,axiom,
    ! [X2] :
      ( v3679(X2,bitIndex0)
    <=> v3680(X2) ),
    file('/export/starexec/sandbox2/tmp/tmp.FP1SE4XCX8/E---3.1_5708.p',addAssignment_2619) ).

fof(writeBinaryOperatorShiftedRanges_555,axiom,
    ! [X2] :
      ( v3693(X2)
    <=> ( v3679(X2,bitIndex0)
        | v3679(X2,bitIndex1) ) ),
    file('/export/starexec/sandbox2/tmp/tmp.FP1SE4XCX8/E---3.1_5708.p',writeBinaryOperatorShiftedRanges_555) ).

fof(writeBinaryOperatorEqualRangesSingleBits_740,axiom,
    ! [X2] :
      ( v3675(X2)
    <=> ( v3677(X2)
        & v3693(X2) ) ),
    file('/export/starexec/sandbox2/tmp/tmp.FP1SE4XCX8/E---3.1_5708.p',writeBinaryOperatorEqualRangesSingleBits_740) ).

fof(writeBinaryOperatorShiftedRanges_554,axiom,
    ! [X2] :
      ( v3678(X2)
    <=> ( v3679(X2,bitIndex0)
        & v3679(X2,bitIndex1) ) ),
    file('/export/starexec/sandbox2/tmp/tmp.FP1SE4XCX8/E---3.1_5708.p',writeBinaryOperatorShiftedRanges_554) ).

fof(c_0_11,plain,
    ! [X2] : ~ v3684(X2,bitIndex5),
    inference(fof_simplification,[status(thm)],[addZeroExtensionConstraint_5]) ).

fof(c_0_12,plain,
    ! [X2] :
      ( ~ v3677(X2)
    <=> v3678(X2) ),
    inference(fof_simplification,[status(thm)],[writeUnaryOperator_345]) ).

fof(c_0_13,plain,
    ! [X2] :
      ( ~ v4(X2)
    <=> v3674(X2) ),
    inference(fof_simplification,[status(thm)],[writeUnaryOperator_347]) ).

fof(c_0_14,plain,
    ! [X2] :
      ( ~ v3674(X2)
    <=> v3675(X2) ),
    inference(fof_simplification,[status(thm)],[writeUnaryOperator_346]) ).

fof(c_0_15,plain,
    ! [X128] :
      ( ( v3682(X128)
        | ~ v3680(X128) )
      & ( v3684(X128,bitIndex5)
        | ~ v3680(X128) )
      & ( ~ v3682(X128)
        | ~ v3684(X128,bitIndex5)
        | v3680(X128) ) ),
    inference(distribute,[status(thm)],[inference(variable_rename,[status(thm)],[inference(fof_nnf,[status(thm)],[writeBinaryOperatorShiftedRanges_553])])]) ).

fof(c_0_16,plain,
    ! [X141] : ~ v3684(X141,bitIndex5),
    inference(variable_rename,[status(thm)],[c_0_11]) ).

fof(c_0_17,negated_conjecture,
    ~ ! [X2] :
        ( reachableState(X2)
       => v4(X2) ),
    inference(assume_negation,[status(cth)],[addAssertion]) ).

fof(c_0_18,plain,
    ! [X2] : v3679(X2,bitIndex1),
    inference(fof_simplification,[status(thm)],[addAssignment_2618]) ).

fof(c_0_19,plain,
    ! [X126] :
      ( ( ~ v3679(X126,bitIndex0)
        | v3680(X126) )
      & ( ~ v3680(X126)
        | v3679(X126,bitIndex0) ) ),
    inference(variable_rename,[status(thm)],[inference(fof_nnf,[status(thm)],[addAssignment_2619])]) ).

fof(c_0_20,plain,
    ! [X123] :
      ( ( ~ v3693(X123)
        | v3679(X123,bitIndex0)
        | v3679(X123,bitIndex1) )
      & ( ~ v3679(X123,bitIndex0)
        | v3693(X123) )
      & ( ~ v3679(X123,bitIndex1)
        | v3693(X123) ) ),
    inference(distribute,[status(thm)],[inference(variable_rename,[status(thm)],[inference(fof_nnf,[status(thm)],[writeBinaryOperatorShiftedRanges_555])])]) ).

fof(c_0_21,plain,
    ! [X122] :
      ( ( v3677(X122)
        | ~ v3675(X122) )
      & ( v3693(X122)
        | ~ v3675(X122) )
      & ( ~ v3677(X122)
        | ~ v3693(X122)
        | v3675(X122) ) ),
    inference(distribute,[status(thm)],[inference(variable_rename,[status(thm)],[inference(fof_nnf,[status(thm)],[writeBinaryOperatorEqualRangesSingleBits_740])])]) ).

fof(c_0_22,plain,
    ! [X125] :
      ( ( v3679(X125,bitIndex0)
        | ~ v3678(X125) )
      & ( v3679(X125,bitIndex1)
        | ~ v3678(X125) )
      & ( ~ v3679(X125,bitIndex0)
        | ~ v3679(X125,bitIndex1)
        | v3678(X125) ) ),
    inference(distribute,[status(thm)],[inference(variable_rename,[status(thm)],[inference(fof_nnf,[status(thm)],[writeBinaryOperatorShiftedRanges_554])])]) ).

fof(c_0_23,plain,
    ! [X124] :
      ( ( v3677(X124)
        | v3678(X124) )
      & ( ~ v3678(X124)
        | ~ v3677(X124) ) ),
    inference(variable_rename,[status(thm)],[inference(fof_nnf,[status(thm)],[c_0_12])]) ).

fof(c_0_24,plain,
    ! [X120] :
      ( ( v4(X120)
        | v3674(X120) )
      & ( ~ v3674(X120)
        | ~ v4(X120) ) ),
    inference(variable_rename,[status(thm)],[inference(fof_nnf,[status(thm)],[c_0_13])]) ).

fof(c_0_25,plain,
    ! [X121] :
      ( ( v3674(X121)
        | v3675(X121) )
      & ( ~ v3675(X121)
        | ~ v3674(X121) ) ),
    inference(variable_rename,[status(thm)],[inference(fof_nnf,[status(thm)],[c_0_14])]) ).

cnf(c_0_26,plain,
    ( v3684(X1,bitIndex5)
    | ~ v3680(X1) ),
    inference(split_conjunct,[status(thm)],[c_0_15]) ).

cnf(c_0_27,plain,
    ~ v3684(X1,bitIndex5),
    inference(split_conjunct,[status(thm)],[c_0_16]) ).

fof(c_0_28,negated_conjecture,
    ( reachableState(esk1_0)
    & ~ v4(esk1_0) ),
    inference(skolemize,[status(esa)],[inference(variable_rename,[status(thm)],[inference(fof_nnf,[status(thm)],[c_0_17])])]) ).

fof(c_0_29,plain,
    ! [X127] : v3679(X127,bitIndex1),
    inference(variable_rename,[status(thm)],[c_0_18]) ).

cnf(c_0_30,plain,
    ( v3680(X1)
    | ~ v3679(X1,bitIndex0) ),
    inference(split_conjunct,[status(thm)],[c_0_19]) ).

cnf(c_0_31,plain,
    ( v3693(X1)
    | ~ v3679(X1,bitIndex1) ),
    inference(split_conjunct,[status(thm)],[c_0_20]) ).

cnf(c_0_32,plain,
    ( v3675(X1)
    | ~ v3677(X1)
    | ~ v3693(X1) ),
    inference(split_conjunct,[status(thm)],[c_0_21]) ).

cnf(c_0_33,plain,
    ( v3679(X1,bitIndex0)
    | ~ v3678(X1) ),
    inference(split_conjunct,[status(thm)],[c_0_22]) ).

cnf(c_0_34,plain,
    ( v3677(X1)
    | v3678(X1) ),
    inference(split_conjunct,[status(thm)],[c_0_23]) ).

cnf(c_0_35,plain,
    ( v4(X1)
    | v3674(X1) ),
    inference(split_conjunct,[status(thm)],[c_0_24]) ).

cnf(c_0_36,plain,
    ( ~ v3675(X1)
    | ~ v3674(X1) ),
    inference(split_conjunct,[status(thm)],[c_0_25]) ).

cnf(c_0_37,plain,
    ~ v3680(X1),
    inference(sr,[status(thm)],[c_0_26,c_0_27]) ).

cnf(c_0_38,negated_conjecture,
    ~ v4(esk1_0),
    inference(split_conjunct,[status(thm)],[c_0_28]) ).

cnf(c_0_39,plain,
    v3679(X1,bitIndex1),
    inference(split_conjunct,[status(thm)],[c_0_29]) ).

cnf(c_0_40,plain,
    $false,
    inference(cdclpropres,[status(thm)],[c_0_30,c_0_31,c_0_32,c_0_33,c_0_34,c_0_35,c_0_36,c_0_37,c_0_38,c_0_39]),
    [proof] ).

%------------------------------------------------------------------------------
%----ORIGINAL SYSTEM OUTPUT
% 0.04/0.12  % Problem    : HWV089+1 : TPTP v8.1.2. Released v6.1.0.
% 0.04/0.13  % Command    : run_E %s %d THM
% 0.13/0.34  % Computer : n026.cluster.edu
% 0.13/0.34  % Model    : x86_64 x86_64
% 0.13/0.34  % CPU      : Intel(R) Xeon(R) CPU E5-2620 v4 @ 2.10GHz
% 0.13/0.34  % Memory   : 8042.1875MB
% 0.13/0.34  % OS       : Linux 3.10.0-693.el7.x86_64
% 0.13/0.34  % CPULimit   : 2400
% 0.13/0.34  % WCLimit    : 300
% 0.13/0.34  % DateTime   : Tue Oct  3 05:18:59 EDT 2023
% 0.13/0.34  % CPUTime    : 
% 1.37/1.56  Running first-order model finding
% 1.37/1.56  Running: /export/starexec/sandbox2/solver/bin/eprover --delete-bad-limit=2000000000 --definitional-cnf=24 -s --print-statistics -R --print-version --proof-object --satauto-schedule=8 --cpu-limit=300 /export/starexec/sandbox2/tmp/tmp.FP1SE4XCX8/E---3.1_5708.p
% 8.89/2.91  # Version: 3.1pre001
% 8.89/2.91  # Preprocessing class: FMLLMMSLSSSNFFN.
% 8.89/2.91  # Scheduled 4 strats onto 8 cores with 300 seconds (2400 total)
% 8.89/2.91  # Starting G-E--_301_C18_F1_URBAN_S5PRR_RG_S0Y with 1500s (5) cores
% 8.89/2.91  # Starting new_bool_3 with 300s (1) cores
% 8.89/2.91  # Starting new_bool_1 with 300s (1) cores
% 8.89/2.91  # Starting sh5l with 300s (1) cores
% 8.89/2.91  # G-E--_301_C18_F1_URBAN_S5PRR_RG_S0Y with pid 5836 completed with status 0
% 8.89/2.91  # Result found by G-E--_301_C18_F1_URBAN_S5PRR_RG_S0Y
% 8.89/2.91  # Preprocessing class: FMLLMMSLSSSNFFN.
% 8.89/2.91  # Scheduled 4 strats onto 8 cores with 300 seconds (2400 total)
% 8.89/2.91  # Starting G-E--_301_C18_F1_URBAN_S5PRR_RG_S0Y with 1500s (5) cores
% 8.89/2.91  # No SInE strategy applied
% 8.89/2.91  # Search class: FGHSM-SMLM00-SFFFFFNN
% 8.89/2.91  # Scheduled 6 strats onto 5 cores with 1500 seconds (1500 total)
% 8.89/2.91  # Starting G-E--_208_C18_F1_SE_CS_SP_PS_S5PRR_S0Y with 290s (1) cores
% 8.89/2.91  # Starting G-E--_301_C18_F1_URBAN_S5PRR_RG_S0Y with 151s (1) cores
% 8.89/2.91  # Starting new_bool_1 with 290s (1) cores
% 8.89/2.91  # Starting sh5l with 290s (1) cores
% 8.89/2.91  # Starting SAT001_MinMin_p005000_rr_RG with 193s (1) cores
% 8.89/2.91  # G-E--_208_C18_F1_SE_CS_SP_PS_S5PRR_S0Y with pid 5847 completed with status 0
% 8.89/2.91  # Result found by G-E--_208_C18_F1_SE_CS_SP_PS_S5PRR_S0Y
% 8.89/2.91  # Preprocessing class: FMLLMMSLSSSNFFN.
% 8.89/2.91  # Scheduled 4 strats onto 8 cores with 300 seconds (2400 total)
% 8.89/2.91  # Starting G-E--_301_C18_F1_URBAN_S5PRR_RG_S0Y with 1500s (5) cores
% 8.89/2.91  # No SInE strategy applied
% 8.89/2.91  # Search class: FGHSM-SMLM00-SFFFFFNN
% 8.89/2.91  # Scheduled 6 strats onto 5 cores with 1500 seconds (1500 total)
% 8.89/2.91  # Starting G-E--_208_C18_F1_SE_CS_SP_PS_S5PRR_S0Y with 290s (1) cores
% 8.89/2.91  # Preprocessing time       : 0.121 s
% 8.89/2.91  # SatCheck found unsatisfiable ground set
% 8.89/2.91  # Presaturation interreduction done
% 8.89/2.91  
% 8.89/2.91  # Proof found!
% 8.89/2.91  # SZS status Theorem
% 8.89/2.91  # SZS output start CNFRefutation
% See solution above
% 8.89/2.91  # Parsed axioms                        : 6279
% 8.89/2.91  # Removed by relevancy pruning/SinE    : 0
% 8.89/2.91  # Initial clauses                      : 14736
% 8.89/2.91  # Removed in clause preprocessing      : 4
% 8.89/2.91  # Initial clauses in saturation        : 14732
% 8.89/2.91  # Processed clauses                    : 5076
% 8.89/2.91  # ...of these trivial                  : 29
% 8.89/2.91  # ...subsumed                          : 47
% 8.89/2.91  # ...remaining for further processing  : 5000
% 8.89/2.91  # Other redundant clauses eliminated   : 369
% 8.89/2.91  # Clauses deleted for lack of memory   : 0
% 8.89/2.91  # Backward-subsumed                    : 28
% 8.89/2.91  # Backward-rewritten                   : 5
% 8.89/2.91  # Generated clauses                    : 370
% 8.89/2.91  # ...of the previous two non-redundant : 375
% 8.89/2.91  # ...aggressively subsumed             : 0
% 8.89/2.91  # Contextual simplify-reflections      : 6
% 8.89/2.91  # Paramodulations                      : 0
% 8.89/2.91  # Factorizations                       : 0
% 8.89/2.91  # NegExts                              : 0
% 8.89/2.91  # Equation resolutions                 : 369
% 8.89/2.91  # Total rewrite steps                  : 34
% 8.89/2.91  # Propositional unsat checks           : 1
% 8.89/2.91  #    Propositional check models        : 0
% 8.89/2.91  #    Propositional check unsatisfiable : 1
% 8.89/2.91  #    Propositional clauses             : 14628
% 8.89/2.91  #    Propositional clauses after purity: 11781
% 8.89/2.91  #    Propositional unsat core size     : 10
% 8.89/2.91  #    Propositional preprocessing time  : 0.000
% 8.89/2.91  #    Propositional encoding time       : 0.023
% 8.89/2.91  #    Propositional solver time         : 0.006
% 8.89/2.91  #    Success case prop preproc time    : 0.000
% 8.89/2.91  #    Success case prop encoding time   : 0.023
% 8.89/2.91  #    Success case prop solver time     : 0.006
% 8.89/2.91  # Current number of processed clauses  : 4597
% 8.89/2.91  #    Positive orientable unit clauses  : 770
% 8.89/2.91  #    Positive unorientable unit clauses: 0
% 8.89/2.91  #    Negative unit clauses             : 721
% 8.89/2.91  #    Non-unit-clauses                  : 3106
% 8.89/2.91  # Current number of unprocessed clauses: 10031
% 8.89/2.91  # ...number of literals in the above   : 24631
% 8.89/2.91  # Current number of archived formulas  : 0
% 8.89/2.91  # Current number of archived clauses   : 36
% 8.89/2.91  # Clause-clause subsumption calls (NU) : 2382755
% 8.89/2.91  # Rec. Clause-clause subsumption calls : 2245320
% 8.89/2.91  # Non-unit clause-clause subsumptions  : 6
% 8.89/2.91  # Unit Clause-clause subsumption calls : 215661
% 8.89/2.91  # Rewrite failures with RHS unbound    : 0
% 8.89/2.91  # BW rewrite match attempts            : 7
% 8.89/2.91  # BW rewrite match successes           : 7
% 8.89/2.91  # Condensation attempts                : 0
% 8.89/2.91  # Condensation successes               : 0
% 8.89/2.91  # Termbank termtop insertions          : 645630
% 8.89/2.91  
% 8.89/2.91  # -------------------------------------------------
% 8.89/2.91  # User time                : 1.142 s
% 8.89/2.91  # System time              : 0.070 s
% 8.89/2.91  # Total time               : 1.212 s
% 8.89/2.91  # Maximum resident set size: 33708 pages
% 8.89/2.91  
% 8.89/2.91  # -------------------------------------------------
% 8.89/2.91  # User time                : 3.691 s
% 8.89/2.91  # System time              : 0.200 s
% 8.89/2.91  # Total time               : 3.891 s
% 8.89/2.91  # Maximum resident set size: 7548 pages
% 8.89/2.91  % E---3.1 exiting
%------------------------------------------------------------------------------