TSTP Solution File: GRP773-1 by EQP---0.9e

View Problem - Process Solution

%------------------------------------------------------------------------------
% File     : EQP---0.9e
% Problem  : GRP773-1 : TPTP v8.1.0. Released v4.1.0.
% Transfm  : none
% Format   : tptp:raw
% Command  : tptp2X_and_run_eqp %s

% Computer : n021.cluster.edu
% Model    : x86_64 x86_64
% CPU      : Intel(R) Xeon(R) CPU E5-2620 v4 2.10GHz
% Memory   : 8042.1875MB
% OS       : Linux 3.10.0-693.el7.x86_64
% CPULimit : 300s
% WCLimit  : 600s
% DateTime : Sat Jul 16 08:49:14 EDT 2022

% Result   : Unknown 2.85s 3.28s
% Output   : None 
% Verified : 
% SZS Type : -

% Comments : 
%------------------------------------------------------------------------------
%----No solution output by system
%------------------------------------------------------------------------------
%----ORIGINAL SYSTEM OUTPUT
% 0.07/0.12  % Problem  : GRP773-1 : TPTP v8.1.0. Released v4.1.0.
% 0.07/0.12  % Command  : tptp2X_and_run_eqp %s
% 0.12/0.33  % Computer : n021.cluster.edu
% 0.12/0.33  % Model    : x86_64 x86_64
% 0.12/0.33  % CPU      : Intel(R) Xeon(R) CPU E5-2620 v4 @ 2.10GHz
% 0.12/0.33  % Memory   : 8042.1875MB
% 0.12/0.33  % OS       : Linux 3.10.0-693.el7.x86_64
% 0.12/0.33  % CPULimit : 300
% 0.12/0.33  % WCLimit  : 600
% 0.12/0.33  % DateTime : Tue Jun 14 03:00:12 EDT 2022
% 0.12/0.34  % CPUTime  : 
% 2.85/3.27  ----- EQP 0.9e, May 2009 -----
% 2.85/3.27  The job began on n021.cluster.edu, Tue Jun 14 03:00:13 2022
% 2.85/3.27  The command was "./eqp09e".
% 2.85/3.27  
% 2.85/3.27  set(prolog_style_variables).
% 2.85/3.27  set(lrpo).
% 2.85/3.27  set(basic_paramod).
% 2.85/3.27  set(functional_subsume).
% 2.85/3.27  set(ordered_paramod).
% 2.85/3.27  set(prime_paramod).
% 2.85/3.27  set(para_pairs).
% 2.85/3.27  assign(pick_given_ratio,4).
% 2.85/3.27  clear(print_kept).
% 2.85/3.27  clear(print_new_demod).
% 2.85/3.27  clear(print_back_demod).
% 2.85/3.27  clear(print_given).
% 2.85/3.27  assign(max_mem,64000).
% 2.85/3.27  end_of_commands.
% 2.85/3.27  
% 2.85/3.27  Usable:
% 2.85/3.27  end_of_list.
% 2.85/3.27  
% 2.85/3.27  Sos:
% 2.85/3.27  0 (wt=-1) [] mult(A,ld(A,B)) = B.
% 2.85/3.27  0 (wt=-1) [] ld(A,mult(A,B)) = B.
% 2.85/3.27  0 (wt=-1) [] mult(rd(A,B),B) = A.
% 2.85/3.27  0 (wt=-1) [] rd(mult(A,B),B) = A.
% 2.85/3.27  0 (wt=-1) [] mult(A,unit) = A.
% 2.85/3.27  0 (wt=-1) [] mult(unit,A) = A.
% 2.85/3.27  0 (wt=-1) [] ld(A,mult(mult(A,B),C)) = rd(mult(B,mult(C,A)),A).
% 2.85/3.27  0 (wt=-1) [] -(mult(mult(mult(a,a),b),c) = mult(mult(a,a),mult(b,c))).
% 2.85/3.27  end_of_list.
% 2.85/3.27  
% 2.85/3.27  Demodulators:
% 2.85/3.27  end_of_list.
% 2.85/3.27  
% 2.85/3.27  Passive:
% 2.85/3.27  end_of_list.
% 2.85/3.27  
% 2.85/3.27  Starting to process input.
% 2.85/3.27  
% 2.85/3.27  ** KEPT: 1 (wt=7) [] mult(A,ld(A,B)) = B.
% 2.85/3.27  1 is a new demodulator.
% 2.85/3.27  
% 2.85/3.27  ** KEPT: 2 (wt=7) [] ld(A,mult(A,B)) = B.
% 2.85/3.27  2 is a new demodulator.
% 2.85/3.27  
% 2.85/3.27  ** KEPT: 3 (wt=7) [] mult(rd(A,B),B) = A.
% 2.85/3.27  3 is a new demodulator.
% 2.85/3.27  
% 2.85/3.27  ** KEPT: 4 (wt=7) [] rd(mult(A,B),B) = A.
% 2.85/3.27  4 is a new demodulator.
% 2.85/3.27  
% 2.85/3.27  ** KEPT: 5 (wt=5) [] mult(A,unit) = A.
% 2.85/3.27  5 is a new demodulator.
% 2.85/3.27  
% 2.85/3.27  ** KEPT: 6 (wt=5) [] mult(unit,A) = A.
% 2.85/3.27  6 is a new demodulator.
% 2.85/3.27  
% 2.85/3.27  ** KEPT: 7 (wt=15) [flip(1)] rd(mult(A,mult(B,C)),C) = ld(C,mult(mult(C,A),B)).
% 2.85/3.27  7 is a new demodulator.
% 2.85/3.27  
% 2.85/3.27  ** KEPT: 8 (wt=15) [] -(mult(mult(mult(a,a),b),c) = mult(mult(a,a),mult(b,c))).
% 2.85/3.27  
% 2.85/3.27  After processing input:
% 2.85/3.27  
% 2.85/3.27  Usable:
% 2.85/3.27  end_of_list.
% 2.85/3.27  
% 2.85/3.27  Sos:
% 2.85/3.27  5 (wt=5) [] mult(A,unit) = A.
% 2.85/3.27  6 (wt=5) [] mult(unit,A) = A.
% 2.85/3.27  1 (wt=7) [] mult(A,ld(A,B)) = B.
% 2.85/3.27  2 (wt=7) [] ld(A,mult(A,B)) = B.
% 2.85/3.27  3 (wt=7) [] mult(rd(A,B),B) = A.
% 2.85/3.27  4 (wt=7) [] rd(mult(A,B),B) = A.
% 2.85/3.27  7 (wt=15) [flip(1)] rd(mult(A,mult(B,C)),C) = ld(C,mult(mult(C,A),B)).
% 2.85/3.27  8 (wt=15) [] -(mult(mult(mult(a,a),b),c) = mult(mult(a,a),mult(b,c))).
% 2.85/3.27  end_of_list.
% 2.85/3.27  
% 2.85/3.27  Demodulators:
% 2.85/3.27  1 (wt=7) [] mult(A,ld(A,B)) = B.
% 2.85/3.27  2 (wt=7) [] ld(A,mult(A,B)) = B.
% 2.85/3.27  3 (wt=7) [] mult(rd(A,B),B) = A.
% 2.85/3.27  4 (wt=7) [] rd(mult(A,B),B) = A.
% 2.85/3.27  5 (wt=5) [] mult(A,unit) = A.
% 2.85/3.27  6 (wt=5) [] mult(unit,A) = A.
% 2.85/3.27  7 (wt=15) [flip(1)] rd(mult(A,mult(B,C)),C) = ld(C,mult(mult(C,A),B)).
% 2.85/3.27  end_of_list.
% 2.85/3.27  
% 2.85/3.27  Passive:
% 2.85/3.27  end_of_list.
% 2.85/3.27  
% 2.85/3.27  ------------- memory usage ------------
% 2.85/3.27  Memory dynamically allocated (tp_alloc): 63964.
% 2.85/3.27    type (bytes each)        gets      frees     in use      avail      bytes
% 2.85/3.27  sym_ent (  96)               58          0         58          0      5.4 K
% 2.85/3.27  term (  16)             3554470    2892932     661538          1  12916.0 K
% 2.85/3.27  gen_ptr (   8)          5909350     454132    5455218          0  42618.9 K
% 2.85/3.27  context ( 808)          1987784    1987782          2          4      4.7 K
% 2.85/3.27  trail (  12)              49935      49935          0          5      0.1 K
% 2.85/3.27  bt_node (  68)            86582      86579          3       8930    593.2 K
% 2.85/3.27  ac_position (285432)          0          0          0          0      0.0 K
% 2.85/3.27  ac_match_pos (14044)          0          0          0          0      0.0 K
% 2.85/3.27  ac_match_free_vars_pos (4020)
% 2.85/3.27                                0          0          0          0      0.0 K
% 2.85/3.27  discrim (  12)           915187     323865     591322          0   6929.6 K
% 2.85/3.27  flat (  40)            18034212   18034212          0       7935    310.0 K
% 2.85/3.27  discrim_pos (  12)        97913      97913          0          1      0.0 K
% 2.85/3.27  fpa_head (  12)            5768          0       5768          0     67.6 K
% 2.85/3.27  fpa_tree (  28)           26054      26054          0        275      7.5 K
% 2.85/3.27  fpa_pos (  36)             2064       2064          0          1      0.0 K
% 2.85/3.27  literal (  12)             5755       4554       1201          0     14.1 K
% 2.85/3.27  clause (  24)              5755       4554       1201          0     28.1 K
% 2.85/3.27  list (  12)                 923        867         56          4      0.7 K
% 2.85/3.27  list_pos (  20)            5765       3018       2747          0     53.7 K
% 2.85/3.27  pair_index (   40)              2          0          2          0      0.1 K
% 2.85/3.27  
% 2.85/3.27  -------------- statistics -------------
% 2.85/3.27  Clauses input                  8
% 2.85/3.27    Usable input                   0
% 2.85/3.27    Sos input                      8
% 2.85/3.27    Demodulators input             0
% 2.85/3.27    Passive input                  0
% 2.85/3.28  
% 2.85/3.28  Processed BS (before search)   8
% 2.85/3.28  Forward subsumed BS            0
% 2.85/3.28  Kept BS                        8
% 2.85/3.28  New demodulators BS            7
% 2.85/3.28  Back demodulated BS            0
% 2.85/3.28  
% 2.85/3.28  Clauses or pairs given      2560
% 2.85/3.28  Clauses generated           3794
% 2.85/3.28  Forward subsumed            2602
% 2.85/3.28  Deleted by weight              0
% 2.85/3.28  Deleted by variable count      0
% 2.85/3.28  Kept                        1192
% 2.85/3.28  New demodulators             857
% 2.85/3.28  Back demodulated             645
% 2.85/3.28  Ordered paramod prunes         0
% 2.85/3.28  Basic paramod prunes       13255
% 2.85/3.28  Prime paramod prunes         511
% 2.85/3.28  Semantic prunes                0
% 2.85/3.28  
% 2.85/3.28  Rewrite attmepts         1914478
% 2.85/3.28  Rewrites                   96708
% 2.85/3.28  
% 2.85/3.28  FPA overloads                  0
% 2.85/3.28  FPA underloads                 0
% 2.85/3.28  
% 2.85/3.28  Usable size                    0
% 2.85/3.28  Sos size                     555
% 2.85/3.28  Demodulators size            437
% 2.85/3.28  Passive size                   0
% 2.85/3.28  Disabled size                645
% 2.85/3.28  
% 2.85/3.28  Proofs found                   0
% 2.85/3.28  
% 2.85/3.28  ----------- times (seconds) ----------- Tue Jun 14 03:00:15 2022
% 2.85/3.28  
% 2.85/3.28  user CPU time             2.10   (0 hr, 0 min, 2 sec)
% 2.85/3.28  system CPU time           0.11   (0 hr, 0 min, 0 sec)
% 2.85/3.28  wall-clock time           2      (0 hr, 0 min, 2 sec)
% 2.85/3.28  input time                0.00
% 2.85/3.28  paramodulation time       0.08
% 2.85/3.28  demodulation time         0.79
% 2.85/3.28  orient time               0.04
% 2.85/3.28  weigh time                0.02
% 2.85/3.28  forward subsume time      0.04
% 2.85/3.28  back demod find time      0.46
% 2.85/3.28  conflict time             0.01
% 2.85/3.28  LRPO time                 0.04
% 2.85/3.28  store clause time         0.56
% 2.85/3.28  disable clause 
% 2.85/3.28  
% 2.85/3.28  ********** ABNORMAL END **********
% 2.85/3.28  ********** in tp_alloc, max_mem parameter exceeded.
% 2.85/3.28  time       0.02
% 2.85/3.28  prime paramod time        0.01
% 2.85/3.28  semantics time            0.00
% 2.85/3.28  
% 2.85/3.28  EQP interrupted
%------------------------------------------------------------------------------