TSTP Solution File: GRP749-1 by EQP---0.9e

View Problem - Process Solution

%------------------------------------------------------------------------------
% File     : EQP---0.9e
% Problem  : GRP749-1 : TPTP v8.1.0. Released v4.0.0.
% Transfm  : none
% Format   : tptp:raw
% Command  : tptp2X_and_run_eqp %s

% Computer : n024.cluster.edu
% Model    : x86_64 x86_64
% CPU      : Intel(R) Xeon(R) CPU E5-2620 v4 2.10GHz
% Memory   : 8042.1875MB
% OS       : Linux 3.10.0-693.el7.x86_64
% CPULimit : 300s
% WCLimit  : 600s
% DateTime : Sat Jul 16 08:49:09 EDT 2022

% Result   : Unknown 4.49s 4.87s
% Output   : None 
% Verified : 
% SZS Type : -

% Comments : 
%------------------------------------------------------------------------------
%----No solution output by system
%------------------------------------------------------------------------------
%----ORIGINAL SYSTEM OUTPUT
% 0.07/0.12  % Problem  : GRP749-1 : TPTP v8.1.0. Released v4.0.0.
% 0.07/0.12  % Command  : tptp2X_and_run_eqp %s
% 0.12/0.34  % Computer : n024.cluster.edu
% 0.12/0.34  % Model    : x86_64 x86_64
% 0.12/0.34  % CPU      : Intel(R) Xeon(R) CPU E5-2620 v4 @ 2.10GHz
% 0.12/0.34  % Memory   : 8042.1875MB
% 0.12/0.34  % OS       : Linux 3.10.0-693.el7.x86_64
% 0.12/0.34  % CPULimit : 300
% 0.12/0.34  % WCLimit  : 600
% 0.12/0.34  % DateTime : Tue Jun 14 12:32:48 EDT 2022
% 0.12/0.34  % CPUTime  : 
% 4.46/4.87  ----- EQP 0.9e, May 2009 -----
% 4.46/4.87  The job began on n024.cluster.edu, Tue Jun 14 12:32:49 2022
% 4.46/4.87  The command was "./eqp09e".
% 4.46/4.87  
% 4.46/4.87  set(prolog_style_variables).
% 4.46/4.87  set(lrpo).
% 4.46/4.87  set(basic_paramod).
% 4.46/4.87  set(functional_subsume).
% 4.46/4.87  set(ordered_paramod).
% 4.46/4.87  set(prime_paramod).
% 4.46/4.87  set(para_pairs).
% 4.46/4.87  assign(pick_given_ratio,4).
% 4.46/4.87  clear(print_kept).
% 4.46/4.87  clear(print_new_demod).
% 4.46/4.87  clear(print_back_demod).
% 4.46/4.87  clear(print_given).
% 4.46/4.87  assign(max_mem,64000).
% 4.46/4.87  end_of_commands.
% 4.46/4.87  
% 4.46/4.87  Usable:
% 4.46/4.87  end_of_list.
% 4.46/4.87  
% 4.46/4.87  Sos:
% 4.46/4.87  0 (wt=-1) [] mult(A,ld(A,B)) = B.
% 4.46/4.87  0 (wt=-1) [] ld(A,mult(A,B)) = B.
% 4.46/4.87  0 (wt=-1) [] mult(rd(A,B),B) = A.
% 4.46/4.87  0 (wt=-1) [] rd(mult(A,B),B) = A.
% 4.46/4.87  0 (wt=-1) [] mult(mult(A,mult(A,A)),mult(B,C)) = mult(mult(A,B),mult(mult(A,A),C)).
% 4.46/4.87  0 (wt=-1) [] mult(mult(A,A),mult(B,C)) = mult(mult(A,B),mult(A,C)).
% 4.46/4.87  0 (wt=-1) [] -(mult(mult(a,b),mult(c,c)) = mult(mult(a,c),mult(b,c))).
% 4.46/4.87  end_of_list.
% 4.46/4.87  
% 4.46/4.87  Demodulators:
% 4.46/4.87  end_of_list.
% 4.46/4.87  
% 4.46/4.87  Passive:
% 4.46/4.87  end_of_list.
% 4.46/4.87  
% 4.46/4.87  Starting to process input.
% 4.46/4.87  
% 4.46/4.87  ** KEPT: 1 (wt=7) [] mult(A,ld(A,B)) = B.
% 4.46/4.87  1 is a new demodulator.
% 4.46/4.87  
% 4.46/4.87  ** KEPT: 2 (wt=7) [] ld(A,mult(A,B)) = B.
% 4.46/4.87  2 is a new demodulator.
% 4.46/4.87  
% 4.46/4.87  ** KEPT: 3 (wt=7) [] mult(rd(A,B),B) = A.
% 4.46/4.87  3 is a new demodulator.
% 4.46/4.87  
% 4.46/4.87  ** KEPT: 4 (wt=7) [] rd(mult(A,B),B) = A.
% 4.46/4.87  4 is a new demodulator.
% 4.46/4.87  
% 4.46/4.87  ** KEPT: 5 (wt=19) [] mult(mult(A,mult(A,A)),mult(B,C)) = mult(mult(A,B),mult(mult(A,A),C)).
% 4.46/4.87  
% 4.46/4.87  ** KEPT: 6 (wt=19) [flip(5)] mult(mult(A,B),mult(mult(A,A),C)) = mult(mult(A,mult(A,A)),mult(B,C)).
% 4.46/4.87  clause forward subsumed: 0 (wt=19) [flip(6)] mult(mult(A,mult(A,A)),mult(B,C)) = mult(mult(A,B),mult(mult(A,A),C)).
% 4.46/4.87  
% 4.46/4.87  ** KEPT: 7 (wt=15) [] mult(mult(A,A),mult(B,C)) = mult(mult(A,B),mult(A,C)).
% 4.46/4.87  
% 4.46/4.87  ** KEPT: 8 (wt=15) [flip(7)] mult(mult(A,B),mult(A,C)) = mult(mult(A,A),mult(B,C)).
% 4.46/4.87  clause forward subsumed: 0 (wt=15) [flip(8)] mult(mult(A,A),mult(B,C)) = mult(mult(A,B),mult(A,C)).
% 4.46/4.87  
% 4.46/4.87  ** KEPT: 9 (wt=15) [flip(1)] -(mult(mult(a,c),mult(b,c)) = mult(mult(a,b),mult(c,c))).
% 4.46/4.87  
% 4.46/4.87  After processing input:
% 4.46/4.87  
% 4.46/4.87  Usable:
% 4.46/4.87  end_of_list.
% 4.46/4.87  
% 4.46/4.87  Sos:
% 4.46/4.87  1 (wt=7) [] mult(A,ld(A,B)) = B.
% 4.46/4.87  2 (wt=7) [] ld(A,mult(A,B)) = B.
% 4.46/4.87  3 (wt=7) [] mult(rd(A,B),B) = A.
% 4.46/4.87  4 (wt=7) [] rd(mult(A,B),B) = A.
% 4.46/4.87  7 (wt=15) [] mult(mult(A,A),mult(B,C)) = mult(mult(A,B),mult(A,C)).
% 4.46/4.87  8 (wt=15) [flip(7)] mult(mult(A,B),mult(A,C)) = mult(mult(A,A),mult(B,C)).
% 4.46/4.87  9 (wt=15) [flip(1)] -(mult(mult(a,c),mult(b,c)) = mult(mult(a,b),mult(c,c))).
% 4.46/4.87  5 (wt=19) [] mult(mult(A,mult(A,A)),mult(B,C)) = mult(mult(A,B),mult(mult(A,A),C)).
% 4.46/4.87  6 (wt=19) [flip(5)] mult(mult(A,B),mult(mult(A,A),C)) = mult(mult(A,mult(A,A)),mult(B,C)).
% 4.46/4.87  end_of_list.
% 4.46/4.87  
% 4.46/4.87  Demodulators:
% 4.46/4.87  1 (wt=7) [] mult(A,ld(A,B)) = B.
% 4.46/4.87  2 (wt=7) [] ld(A,mult(A,B)) = B.
% 4.46/4.87  3 (wt=7) [] mult(rd(A,B),B) = A.
% 4.46/4.87  4 (wt=7) [] rd(mult(A,B),B) = A.
% 4.46/4.87  end_of_list.
% 4.46/4.87  
% 4.46/4.87  Passive:
% 4.46/4.87  end_of_list.
% 4.46/4.87  
% 4.46/4.87  ------------- memory usage ------------
% 4.46/4.87  Memory dynamically allocated (tp_alloc): 63964.
% 4.46/4.87    type (bytes each)        gets      frees     in use      avail      bytes
% 4.46/4.87  sym_ent (  96)               57          0         57          0      5.3 K
% 4.46/4.87  term (  16)             3046688    2226602     820086          1  15979.3 K
% 4.46/4.87  gen_ptr (   8)          5870374     445102    5425272         86  42385.6 K
% 4.46/4.87  context ( 808)           960440     960438          2          8      7.9 K
% 4.46/4.87  trail (  12)             122792     122792          0          5      0.1 K
% 4.46/4.87  bt_node (  68)            60785      60782          3         16      1.3 K
% 4.46/4.87  ac_position (285432)          0          0          0          0      0.0 K
% 4.46/4.87  ac_match_pos (14044)          0          0          0          0      0.0 K
% 4.46/4.87  ac_match_free_vars_pos (4020)
% 4.46/4.87                                0          0          0          0      0.0 K
% 4.46/4.87  discrim (  12)           543778     234859     308919       1367   3636.2 K
% 4.46/4.87  flat (  40)             8862790    8862790          0       1939     75.7 K
% 4.46/4.87  discrim_pos (  12)        32727      32727          0          1      0.0 K
% 4.46/4.87  fpa_head (  12)           30121          0      30121          0    353.0 K
% 4.46/4.87  fpa_tree (  28)           18671      18671          0         69      1.9 K
% 4.46/4.87  fpa_pos (  36)            11595      11595          0          1      0.0 K
% 4.46/4.87  literal (  12)            43759      34030       9729          1    114.0 K
% 4.46/4.87  clause (  24)             43759      34030       9729          1    228.0 K
% 4.46/4.87  list (  12)                1926       1868         58          2      0.7 K
% 4.49/4.87  list_pos (  20)           41424      21127      20297        389    404.0 K
% 4.49/4.87  pair_index (   40)              2          0          2          0      0.1 K
% 4.49/4.87  
% 4.49/4.87  -------------- statistics -------------
% 4.49/4.87  Clauses input                  7
% 4.49/4.87    Usable input                   0
% 4.49/4.87    Sos input                      7
% 4.49/4.87    Demodulators input             0
% 4.49/4.87    Passive input                  0
% 4.49/4.87  
% 4.49/4.87  Processed BS (before search)  11
% 4.49/4.87  Forward subsumed BS            2
% 4.49/4.87  Kept BS                        9
% 4.49/4.87  New demodulators BS            4
% 4.49/4.87  Back demodulated BS            0
% 4.49/4.87  
% 4.49/4.87  Clauses or pairs given      5547
% 4.49/4.87  Clauses generated          19003
% 4.49/4.87  Forward subsumed            9284
% 4.49/4.87  Deleted by weight              0
% 4.49/4.87  Deleted by variable count      0
% 4.49/4.87  Kept                        9719
% 4.49/4.87  New demodulators            1863
% 4.49/4.87  Back demodulated            4907
% 4.49/4.87  Ordered paramod prunes         0
% 4.49/4.87  Basic paramod prunes       15812
% 4.49/4.87  Prime paramod prunes         220
% 4.49/4.87  Semantic prunes                0
% 4.49/4.87  
% 4.49/4.87  Rewrite attmepts          846552
% 4.49/4.87  Rewrites                   24949
% 4.49/4.87  
% 4.49/4.87  FPA overloads                  0
% 4.49/4.87  FPA underloads                 0
% 4.49/4.87  
% 4.49/4.87  Usable size                    0
% 4.49/4.87  Sos size                    4822
% 4.49/4.87  Demodulators size            604
% 4.49/4.87  Passive size                   0
% 4.49/4.87  Disabled size               4906
% 4.49/4.87  
% 4.49/4.87  Proofs found                   0
% 4.49/4.87  
% 4.49/4.87  ----------- times (seconds) ----------- Tue Jun 14 12:32:53 2022
% 4.49/4.87  
% 4.49/4.87  user CPU time             3.52   (0 hr, 0 min, 3 sec)
% 4.49/4.87  system CPU time           0.27   (0 hr, 0 min, 0 sec)
% 4.49/4.87  wall-clock time           4      (0 hr, 0 min, 4 sec)
% 4.49/4.87  input time                0.00
% 4.49/4.87  paramodulation time       0.05
% 4.49/4.87  demodulation time         0.49
% 4.49/4.87  orient time               0.10
% 4.49/4.87  weigh time                0.02
% 4.49/4.87  forward subsume time      0.22
% 4.49/4.87  back demod find time      0.27
% 4.49/4.87  conflict time             0.01
% 4.49/4.87  LRPO time     
% 4.49/4.87  
% 4.49/4.87  ********** ABNORMAL END **********
% 4.49/4.87  ********** in tp_alloc, max_mem parameter exceeded.
% 4.49/4.87              0.07
% 4.49/4.87  store clause time         1.92
% 4.49/4.87  disable clause time       0.31
% 4.49/4.87  prime paramod time        0.01
% 4.49/4.87  semantics time            0.00
% 4.49/4.87  
% 4.49/4.87  EQP interrupted
%------------------------------------------------------------------------------