TSTP Solution File: GRP743-1 by EQP---0.9e

View Problem - Process Solution

%------------------------------------------------------------------------------
% File     : EQP---0.9e
% Problem  : GRP743-1 : TPTP v8.1.0. Released v4.0.0.
% Transfm  : none
% Format   : tptp:raw
% Command  : tptp2X_and_run_eqp %s

% Computer : n029.cluster.edu
% Model    : x86_64 x86_64
% CPU      : Intel(R) Xeon(R) CPU E5-2620 v4 2.10GHz
% Memory   : 8042.1875MB
% OS       : Linux 3.10.0-693.el7.x86_64
% CPULimit : 300s
% WCLimit  : 600s
% DateTime : Sat Jul 16 08:49:08 EDT 2022

% Result   : Unknown 8.55s 8.97s
% Output   : None 
% Verified : 
% SZS Type : -

% Comments : 
%------------------------------------------------------------------------------
%----No solution output by system
%------------------------------------------------------------------------------
%----ORIGINAL SYSTEM OUTPUT
% 0.07/0.13  % Problem  : GRP743-1 : TPTP v8.1.0. Released v4.0.0.
% 0.07/0.14  % Command  : tptp2X_and_run_eqp %s
% 0.15/0.35  % Computer : n029.cluster.edu
% 0.15/0.35  % Model    : x86_64 x86_64
% 0.15/0.35  % CPU      : Intel(R) Xeon(R) CPU E5-2620 v4 @ 2.10GHz
% 0.15/0.35  % Memory   : 8042.1875MB
% 0.15/0.35  % OS       : Linux 3.10.0-693.el7.x86_64
% 0.15/0.35  % CPULimit : 300
% 0.15/0.35  % WCLimit  : 600
% 0.15/0.35  % DateTime : Tue Jun 14 09:26:55 EDT 2022
% 0.15/0.35  % CPUTime  : 
% 8.55/8.97  ----- EQP 0.9e, May 2009 -----
% 8.55/8.97  The job began on n029.cluster.edu, Tue Jun 14 09:26:56 2022
% 8.55/8.97  The command was "./eqp09e".
% 8.55/8.97  
% 8.55/8.97  set(prolog_style_variables).
% 8.55/8.97  set(lrpo).
% 8.55/8.97  set(basic_paramod).
% 8.55/8.97  set(functional_subsume).
% 8.55/8.97  set(ordered_paramod).
% 8.55/8.97  set(prime_paramod).
% 8.55/8.97  set(para_pairs).
% 8.55/8.97  assign(pick_given_ratio,4).
% 8.55/8.97  clear(print_kept).
% 8.55/8.97  clear(print_new_demod).
% 8.55/8.97  clear(print_back_demod).
% 8.55/8.97  clear(print_given).
% 8.55/8.97  assign(max_mem,64000).
% 8.55/8.97  end_of_commands.
% 8.55/8.97  
% 8.55/8.97  Usable:
% 8.55/8.97  end_of_list.
% 8.55/8.97  
% 8.55/8.97  Sos:
% 8.55/8.97  0 (wt=-1) [] mult(A,ld(A,B)) = B.
% 8.55/8.97  0 (wt=-1) [] ld(A,mult(A,B)) = B.
% 8.55/8.97  0 (wt=-1) [] mult(rd(A,B),B) = A.
% 8.55/8.97  0 (wt=-1) [] rd(mult(A,B),B) = A.
% 8.55/8.97  0 (wt=-1) [] mult(A,unit) = A.
% 8.55/8.97  0 (wt=-1) [] mult(unit,A) = A.
% 8.55/8.97  0 (wt=-1) [] mult(A,mult(A,B)) = B.
% 8.55/8.97  0 (wt=-1) [] mult(A,B) = mult(B,A).
% 8.55/8.97  0 (wt=-1) [] mult(A,mult(mult(A,mult(B,C)),C)) = mult(mult(A,mult(mult(A,B),C)),C).
% 8.55/8.97  0 (wt=-1) [] -(mult(mult(a,b),c) = mult(a,mult(b,c))).
% 8.55/8.97  end_of_list.
% 8.55/8.97  
% 8.55/8.97  Demodulators:
% 8.55/8.97  end_of_list.
% 8.55/8.97  
% 8.55/8.97  Passive:
% 8.55/8.97  end_of_list.
% 8.55/8.97  
% 8.55/8.97  Starting to process input.
% 8.55/8.97  
% 8.55/8.97  ** KEPT: 1 (wt=7) [] mult(A,ld(A,B)) = B.
% 8.55/8.97  1 is a new demodulator.
% 8.55/8.97  
% 8.55/8.97  ** KEPT: 2 (wt=7) [] ld(A,mult(A,B)) = B.
% 8.55/8.97  2 is a new demodulator.
% 8.55/8.97  
% 8.55/8.97  ** KEPT: 3 (wt=7) [] mult(rd(A,B),B) = A.
% 8.55/8.97  3 is a new demodulator.
% 8.55/8.97  
% 8.55/8.97  ** KEPT: 4 (wt=7) [] rd(mult(A,B),B) = A.
% 8.55/8.97  4 is a new demodulator.
% 8.55/8.97  
% 8.55/8.97  ** KEPT: 5 (wt=5) [] mult(A,unit) = A.
% 8.55/8.97  5 is a new demodulator.
% 8.55/8.97  
% 8.55/8.97  ** KEPT: 6 (wt=5) [] mult(unit,A) = A.
% 8.55/8.97  6 is a new demodulator.
% 8.55/8.97  
% 8.55/8.97  ** KEPT: 7 (wt=7) [] mult(A,mult(A,B)) = B.
% 8.55/8.97  7 is a new demodulator.
% 8.55/8.97  
% 8.55/8.97  ** KEPT: 8 (wt=7) [] mult(A,B) = mult(B,A).
% 8.55/8.97  clause forward subsumed: 0 (wt=7) [flip(8)] mult(B,A) = mult(A,B).
% 8.55/8.97  
% 8.55/8.97  ** KEPT: 9 (wt=19) [flip(1)] mult(mult(A,mult(mult(A,B),C)),C) = mult(A,mult(mult(A,mult(B,C)),C)).
% 8.55/8.97  9 is a new demodulator.
% 8.55/8.97  
% 8.55/8.97  ** KEPT: 10 (wt=11) [] -(mult(mult(a,b),c) = mult(a,mult(b,c))).
% 8.55/8.97  
% 8.55/8.97  After processing input:
% 8.55/8.97  
% 8.55/8.97  Usable:
% 8.55/8.97  end_of_list.
% 8.55/8.97  
% 8.55/8.97  Sos:
% 8.55/8.97  5 (wt=5) [] mult(A,unit) = A.
% 8.55/8.97  6 (wt=5) [] mult(unit,A) = A.
% 8.55/8.97  1 (wt=7) [] mult(A,ld(A,B)) = B.
% 8.55/8.97  2 (wt=7) [] ld(A,mult(A,B)) = B.
% 8.55/8.97  3 (wt=7) [] mult(rd(A,B),B) = A.
% 8.55/8.97  4 (wt=7) [] rd(mult(A,B),B) = A.
% 8.55/8.97  7 (wt=7) [] mult(A,mult(A,B)) = B.
% 8.55/8.97  8 (wt=7) [] mult(A,B) = mult(B,A).
% 8.55/8.97  10 (wt=11) [] -(mult(mult(a,b),c) = mult(a,mult(b,c))).
% 8.55/8.97  9 (wt=19) [flip(1)] mult(mult(A,mult(mult(A,B),C)),C) = mult(A,mult(mult(A,mult(B,C)),C)).
% 8.55/8.97  end_of_list.
% 8.55/8.97  
% 8.55/8.97  Demodulators:
% 8.55/8.97  1 (wt=7) [] mult(A,ld(A,B)) = B.
% 8.55/8.97  2 (wt=7) [] ld(A,mult(A,B)) = B.
% 8.55/8.97  3 (wt=7) [] mult(rd(A,B),B) = A.
% 8.55/8.97  4 (wt=7) [] rd(mult(A,B),B) = A.
% 8.55/8.97  5 (wt=5) [] mult(A,unit) = A.
% 8.55/8.97  6 (wt=5) [] mult(unit,A) = A.
% 8.55/8.97  7 (wt=7) [] mult(A,mult(A,B)) = B.
% 8.55/8.97  9 (wt=19) [flip(1)] mult(mult(A,mult(mult(A,B),C)),C) = mult(A,mult(mult(A,mult(B,C)),C)).
% 8.55/8.97  end_of_list.
% 8.55/8.97  
% 8.55/8.97  Passive:
% 8.55/8.97  end_of_list.
% 8.55/8.97  
% 8.55/8.97  ------------- memory usage ------------
% 8.55/8.97  Memory dynamically allocated (tp_alloc): 63964.
% 8.55/8.97    type (bytes each)        gets      frees     in use      avail      bytes
% 8.55/8.97  sym_ent (  96)               58          0         58          0      5.4 K
% 8.55/8.97  term (  16)             2808064    2018890     789174          2  15374.6 K
% 8.55/8.97  gen_ptr (   8)          5323455     295195    5028260          0  39283.3 K
% 8.55/8.97  context ( 808)          1417771    1417769          2          4      4.7 K
% 8.55/8.97  trail (  12)            1901277    1901277          0          7      0.1 K
% 8.55/8.97  bt_node (  68)           373030     373027          3         48      3.4 K
% 8.55/8.97  ac_position (285432)          0          0          0          0      0.0 K
% 8.55/8.97  ac_match_pos (14044)          0          0          0          0      0.0 K
% 8.55/8.97  ac_match_free_vars_pos (4020)
% 8.55/8.97                                0          0          0          0      0.0 K
% 8.55/8.97  discrim (  12)           690583      43612     646971          0   7581.7 K
% 8.55/8.97  flat (  40)             9630254    9630254          0        371     14.5 K
% 8.55/8.97  discrim_pos (  12)       100671     100671          0          1      0.0 K
% 8.55/8.97  fpa_head (  12)            1934          0       1934          0     22.7 K
% 8.55/8.97  fpa_tree (  28)           66583      66583          0         85      2.3 K
% 8.55/8.97  fpa_pos (  36)            14897      14897          0          1      0.0 K
% 8.55/8.97  literal (  12)            75303      65333       9970          1    116.8 K
% 8.55/8.97  clause (  24)             75303      65333       9970          1    233.7 K
% 8.55/8.97  list (  12)                4986       4930         56          3      0.7 K
% 8.55/8.97  list_pos (  20)           37051       4950      32101          0    627.0 K
% 8.55/8.97  pair_index (   40)              2          0          2          0      0.1 K
% 8.55/8.97  
% 8.55/8.97  -------------- statistics -------------
% 8.55/8.97  Clauses input                 10
% 8.55/8.97    Usable input                   0
% 8.55/8.97    Sos input                     10
% 8.55/8.97    Demodulators input             0
% 8.55/8.97    Passive input                  0
% 8.55/8.97  
% 8.55/8.97  Processed BS (before search)  11
% 8.55/8.97  Forward subsumed BS            1
% 8.55/8.97  Kept BS                       10
% 8.55/8.97  New demodulators BS            8
% 8.55/8.97  Back demodulated BS            0
% 8.55/8.97  
% 8.55/8.97  Clauses or pairs given     28100
% 8.55/8.97  Clauses generated          41459
% 8.55/8.97  Forward subsumed           31499
% 8.55/8.97  Deleted by weight              0
% 8.55/8.97  Deleted by variable count      0
% 8.55/8.97  Kept                        9960
% 8.55/8.97  New demodulators            4919
% 8.55/8.97  Back demodulated            1101
% 8.55/8.97  Ordered paramod prunes         0
% 8.55/8.97  Basic paramod prunes      186745
% 8.55/8.97  Prime paramod prunes        4444
% 8.55/8.97  Semantic prunes                0
% 8.55/8.97  
% 8.55/8.97  Rewrite attmepts         1026048
% 8.55/8.97  Rewrites                   80167
% 8.55/8.97  
% 8.55/8.97  FPA overloads                  0
% 8.55/8.97  FPA underloads                 0
% 8.55/8.97  
% 8.55/8.97  Usable size                    0
% 8.55/8.97  Sos size                    8869
% 8.55/8.97  Demodulators size           4393
% 8.55/8.97  Passive size                   0
% 8.55/8.97  Disabled size               1101
% 8.55/8.97  
% 8.55/8.97  Proofs found                   0
% 8.55/8.97  
% 8.55/8.97  ----------- times (seconds) ----------- Tue Jun 14 09:27:04 2022
% 8.55/8.97  
% 8.55/8.97  user CPU time             7.34   (0 hr, 0 min, 7 sec)
% 8.55/8.97  system CPU time           0.53   (0 hr, 0 min, 0 sec)
% 8.55/8.97  wall-clock time           8      (0 hr, 0 min, 8 sec)
% 8.55/8.97  input time                0.00
% 8.55/8.97  paramodulation time       0.25
% 8.55/8.97  demodulation time         0.57
% 8.55/8.97  orient time               0.13
% 8.55/8.97  weigh time                0.02
% 8.55/8.97  forward subsume time      0.23
% 8.55/8.97  back demo
% 8.55/8.97  
% 8.55/8.97  ********** ABNORMAL END **********
% 8.55/8.97  ********** in tp_alloc, max_mem parameter exceeded.
% 8.55/8.97  d find time      4.67
% 8.55/8.97  conflict time             0.01
% 8.55/8.97  LRPO time                 0.06
% 8.55/8.97  store clause time         1.16
% 8.55/8.97  disable clause time       0.09
% 8.55/8.97  prime paramod time        0.09
% 8.55/8.97  semantics time            0.00
% 8.55/8.97  
% 8.55/8.97  EQP interrupted
%------------------------------------------------------------------------------