TSTP Solution File: BOO092-1 by EQP---0.9e

View Problem - Process Solution

%------------------------------------------------------------------------------
% File     : EQP---0.9e
% Problem  : BOO092-1 : TPTP v8.1.0. Released v2.6.0.
% Transfm  : none
% Format   : tptp:raw
% Command  : tptp2X_and_run_eqp %s

% Computer : n019.cluster.edu
% Model    : x86_64 x86_64
% CPU      : Intel(R) Xeon(R) CPU E5-2620 v4 2.10GHz
% Memory   : 8042.1875MB
% OS       : Linux 3.10.0-693.el7.x86_64
% CPULimit : 300s
% WCLimit  : 600s
% DateTime : Thu Jul 14 23:37:35 EDT 2022

% Result   : Unknown 2.17s 2.56s
% Output   : None 
% Verified : 
% SZS Type : -

% Comments : 
%------------------------------------------------------------------------------
%----No solution output by system
%------------------------------------------------------------------------------
%----ORIGINAL SYSTEM OUTPUT
% 0.03/0.12  % Problem  : BOO092-1 : TPTP v8.1.0. Released v2.6.0.
% 0.03/0.12  % Command  : tptp2X_and_run_eqp %s
% 0.12/0.33  % Computer : n019.cluster.edu
% 0.12/0.33  % Model    : x86_64 x86_64
% 0.12/0.33  % CPU      : Intel(R) Xeon(R) CPU E5-2620 v4 @ 2.10GHz
% 0.12/0.33  % Memory   : 8042.1875MB
% 0.12/0.33  % OS       : Linux 3.10.0-693.el7.x86_64
% 0.12/0.33  % CPULimit : 300
% 0.12/0.33  % WCLimit  : 600
% 0.12/0.33  % DateTime : Wed Jun  1 20:50:25 EDT 2022
% 0.12/0.33  % CPUTime  : 
% 2.17/2.55  ----- EQP 0.9e, May 2009 -----
% 2.17/2.55  The job began on n019.cluster.edu, Wed Jun  1 20:50:26 2022
% 2.17/2.55  The command was "./eqp09e".
% 2.17/2.55  
% 2.17/2.55  set(prolog_style_variables).
% 2.17/2.55  set(lrpo).
% 2.17/2.55  set(basic_paramod).
% 2.17/2.55  set(functional_subsume).
% 2.17/2.55  set(ordered_paramod).
% 2.17/2.55  set(prime_paramod).
% 2.17/2.55  set(para_pairs).
% 2.17/2.55  assign(pick_given_ratio,4).
% 2.17/2.55  clear(print_kept).
% 2.17/2.55  clear(print_new_demod).
% 2.17/2.55  clear(print_back_demod).
% 2.17/2.55  clear(print_given).
% 2.17/2.55  assign(max_mem,64000).
% 2.17/2.55  end_of_commands.
% 2.17/2.55  
% 2.17/2.55  Usable:
% 2.17/2.55  end_of_list.
% 2.17/2.55  
% 2.17/2.55  Sos:
% 2.17/2.55  0 (wt=-1) [] nand(nand(nand(A,nand(A,B)),A),nand(B,nand(C,A))) = B.
% 2.17/2.55  0 (wt=-1) [] -(nand(a,nand(b,nand(a,c))) = nand(nand(nand(c,b),b),a)).
% 2.17/2.55  end_of_list.
% 2.17/2.55  
% 2.17/2.55  Demodulators:
% 2.17/2.55  end_of_list.
% 2.17/2.55  
% 2.17/2.55  Passive:
% 2.17/2.55  end_of_list.
% 2.17/2.55  
% 2.17/2.55  Starting to process input.
% 2.17/2.55  
% 2.17/2.55  ** KEPT: 1 (wt=15) [] nand(nand(nand(A,nand(A,B)),A),nand(B,nand(C,A))) = B.
% 2.17/2.55  1 is a new demodulator.
% 2.17/2.55  
% 2.17/2.55  ** KEPT: 2 (wt=15) [flip(1)] -(nand(nand(nand(c,b),b),a) = nand(a,nand(b,nand(a,c)))).
% 2.17/2.55  
% 2.17/2.55  
% 2.17/2.55  ********** ABNORMAL END **********
% 2.17/2.55  ********** in tp_alloc, max_mem parameter exceeded.
% 2.17/2.55  
% 2.17/2.55  After processing input:
% 2.17/2.55  
% 2.17/2.55  Usable:
% 2.17/2.55  end_of_list.
% 2.17/2.55  
% 2.17/2.55  Sos:
% 2.17/2.55  1 (wt=15) [] nand(nand(nand(A,nand(A,B)),A),nand(B,nand(C,A))) = B.
% 2.17/2.55  2 (wt=15) [flip(1)] -(nand(nand(nand(c,b),b),a) = nand(a,nand(b,nand(a,c)))).
% 2.17/2.55  end_of_list.
% 2.17/2.55  
% 2.17/2.55  Demodulators:
% 2.17/2.55  1 (wt=15) [] nand(nand(nand(A,nand(A,B)),A),nand(B,nand(C,A))) = B.
% 2.17/2.55  end_of_list.
% 2.17/2.55  
% 2.17/2.55  Passive:
% 2.17/2.55  end_of_list.
% 2.17/2.55  
% 2.17/2.55  ------------- memory usage ------------
% 2.17/2.55  Memory dynamically allocated (tp_alloc): 63964.
% 2.17/2.55    type (bytes each)        gets      frees     in use      avail      bytes
% 2.17/2.55  sym_ent (  96)               55          0         55          0      5.2 K
% 2.17/2.55  term (  16)             1140593     500992     639601          2  12486.6 K
% 2.17/2.55  gen_ptr (   8)          5062031       5331    5056700          0  39505.5 K
% 2.17/2.55  context ( 808)           590392     590390          2          2      3.2 K
% 2.17/2.55  trail (  12)               9072       9072          0          9      0.1 K
% 2.17/2.55  bt_node (  68)            87029      87026          3        214     14.4 K
% 2.17/2.55  ac_position (285432)          0          0          0          0      0.0 K
% 2.17/2.55  ac_match_pos (14044)          0          0          0          0      0.0 K
% 2.17/2.55  ac_match_free_vars_pos (4020)
% 2.17/2.55                                0          0          0          0      0.0 K
% 2.17/2.55  discrim (  12)           913124       1291     911833          0  10685.5 K
% 2.17/2.55  flat (  40)             4079218    4079218          0        897     35.0 K
% 2.17/2.55  discrim_pos (  12)          595        595          0          1      0.0 K
% 2.17/2.55  fpa_head (  12)            2069          0       2069          0     24.2 K
% 2.17/2.55  fpa_tree (  28)           86274      86274          0        297      8.1 K
% 2.17/2.55  fpa_pos (  36)             2884       2884          0          1      0.0 K
% 2.17/2.55  literal (  12)             3531       2088       1443          1     16.9 K
% 2.17/2.55  clause (  24)              3531       2088       1443          1     33.8 K
% 2.17/2.55  list (  12)                1500       1444         56          3      0.7 K
% 2.17/2.55  list_pos (  20)            5790         47       5743          0    112.2 K
% 2.17/2.55  pair_index (   40)              2          0          2          0      0.1 K
% 2.17/2.55  
% 2.17/2.55  -------------- statistics -------------
% 2.17/2.55  Clauses input                  2
% 2.17/2.55    Usable input                   0
% 2.17/2.55    Sos input                      2
% 2.17/2.55    Demodulators input             0
% 2.17/2.55    Passive input                  0
% 2.17/2.55  
% 2.17/2.55  Processed BS (before search)   2
% 2.17/2.55  Forward subsumed BS            0
% 2.17/2.55  Kept BS                        2
% 2.17/2.55  New demodulators BS            1
% 2.17/2.55  Back demodulated BS            0
% 2.17/2.55  
% 2.17/2.55  Clauses or pairs given      1373
% 2.17/2.55  Clauses generated           2087
% 2.17/2.55  Forward subsumed             646
% 2.17/2.55  Deleted by weight              0
% 2.17/2.55  Deleted by variable count      0
% 2.17/2.55  Kept                        1441
% 2.17/2.55  New demodulators            1440
% 2.17/2.55  Back demodulated               9
% 2.17/2.55  Ordered paramod prunes         0
% 2.17/2.55  Basic paramod prunes       59455
% 2.17/2.55  Prime paramod prunes           0
% 2.17/2.55  Semantic prunes                0
% 2.17/2.55  
% 2.17/2.55  Rewrite attmepts          383368
% 2.17/2.55  Rewrites                     595
% 2.17/2.55  
% 2.17/2.55  FPA overloads                  0
% 2.17/2.55  FPA underloads                 0
% 2.17/2.55  
% 2.17/2.55  Usable size                    0
% 2.17/2.55  Sos size                    1434
% 2.17/2.55  Demodulators size           1432
% 2.17/2.55  Passive size                   0
% 2.17/2.55  Disabled size                  9
% 2.17/2.55  
% 2.17/2.55  Proofs found                   0
% 2.17/2.56  
% 2.17/2.56  ----------- times (seconds) ----------- Wed Jun  1 20:50:28 2022
% 2.17/2.56  
% 2.17/2.56  user CPU time             1.42   (0 hr, 0 min, 1 sec)
% 2.17/2.56  system CPU time           0.06   (0 hr, 0 min, 0 sec)
% 2.17/2.56  wall-clock time           2      (0 hr, 0 min, 2 sec)
% 2.17/2.56  input time                0.00
% 2.17/2.56  paramodulation time       0.12
% 2.17/2.56  demodulation time         0.12
% 2.17/2.56  orient time               0.01
% 2.17/2.56  weigh time                0.01
% 2.17/2.56  forward subsume time      0.03
% 2.17/2.56  back demod find time      0.63
% 2.17/2.56  conflict time             0.00
% 2.17/2.56  LRPO time                 0.01
% 2.17/2.56  store clause time         0.48
% 2.17/2.56  disable clause time       0.00
% 2.17/2.56  prime paramod time        0.05
% 2.17/2.56  semantics time            0.00
% 2.17/2.56  
% 2.17/2.56  EQP interrupted
%------------------------------------------------------------------------------