0.00/0.03 % Problem : theBenchmark.p : TPTP v0.0.0. Released v0.0.0. 0.00/0.04 % Command : do_CVC4 %s 0.03/0.23 % Computer : n130.star.cs.uiowa.edu 0.03/0.23 % Model : x86_64 x86_64 0.03/0.23 % CPU : Intel(R) Xeon(R) CPU E5-2609 0 @ 2.40GHz 0.03/0.23 % Memory : 32218.625MB 0.03/0.23 % OS : Linux 3.10.0-693.2.2.el7.x86_64 0.03/0.23 % CPULimit : 300 0.03/0.23 % DateTime : Sat Jul 14 05:45:10 CDT 2018 0.03/0.23 % CPUTime : 0.06/0.27 %----Proving without arithmetic mode 0.06/0.28 ------- cvc4-fof casc j9 : /export/starexec/sandbox2/benchmark/theBenchmark.p at ... 0.06/0.28 --- Run --decision=internal --simplification=none --no-inst-no-entail --no-quant-cf --full-saturate-quant at 20... 0.64/0.87 % SZS status Theorem for theBenchmark 0.64/0.87 % SZS output start Proof for theBenchmark 0.64/0.87 (skolem (let ((_let_0 (vabs Vy VS1 veabs))) (forall ((Vx $$unsorted) (VS $$unsorted) (VC $$unsorted) (Vy $$unsorted) (VS1 $$unsorted) (VT $$unsorted)) (or (= Vx Vy) (not (vtcheck VC _let_0 VT)) (visFreeVar Vx _let_0) (vtcheck (vbind Vx VS VC) _let_0 VT)) )) 0.64/0.87 ( skv_1 skv_2 skv_3 skv_4 skv_5 skv_6 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Vx $$unsorted) (Ve2 $$unsorted) (VT1 $$unsorted) (VT2 $$unsorted)) (or (not (vtcheck (vbind Vx VT1 skv_3) Ve2 VT2)) (not (= (varrow VT1 VT2) skv_6)) (not (= (vabs Vx VT1 Ve2) (vabs skv_4 skv_5 veabs)))) ) 0.64/0.87 ( skv_7 skv_8 skv_9 skv_10 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Ve1 $$unsorted) (Ve2 $$unsorted) (VS $$unsorted)) (or (not (vtcheck skv_3 Ve1 (varrow VS skv_6))) (not (vtcheck skv_3 Ve2 VS)) (not (= (vapp Ve1 Ve2) (vabs skv_4 skv_5 veabs)))) ) 0.64/0.87 ( skv_11 skv_12 skv_13 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Vx $$unsorted)) (or (not (= (vvar Vx) (vabs skv_4 skv_5 veabs))) (not (= (vlookup Vx skv_3) (vsomeType skv_6)))) ) 0.64/0.87 ( skv_14 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) skv_11)) ) 0.64/0.87 ( skv_15 skv_16 skv_17 ) 0.64/0.87 ) 0.64/0.87 (skolem (let ((_let_0 (vreduce Ve1))) (let ((_let_1 (vabs skv_4 skv_5 veabs))) (forall ((Ve1 $$unsorted) (Ve2 $$unsorted)) (or (not (visSomeExp _let_0)) (not (= (vsomeExp (vapp (vgetSomeExp _let_0) Ve2)) (vreduce _let_1))) (not (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= Ve1 (vabs VVx0 VVS0 VVe10))) )) (not (= (vapp Ve1 Ve2) _let_1))) ))) 0.64/0.87 ( skv_18 skv_19 ) 0.64/0.87 ) 0.64/0.87 (skolem (let ((_let_0 (vabs skv_4 skv_5 veabs))) (forall ((VS $$unsorted) (Vx $$unsorted) (Ve2 $$unsorted) (Ve1 $$unsorted)) (or (visSomeExp (vreduce Ve2)) (not (visValue Ve2)) (not (= (vsomeExp (vsubst Vx Ve2 Ve1)) (vreduce _let_0))) (not (= (vapp (vabs Vx VS Ve1) Ve2) _let_0))) )) 0.64/0.87 ( skv_20 skv_21 skv_22 skv_23 ) 0.64/0.87 ) 0.64/0.87 (skolem (let ((_let_0 (vabs Vx VS Ve1))) (let ((_let_1 (vreduce Ve2))) (let ((_let_2 (vabs skv_4 skv_5 veabs))) (forall ((Ve2 $$unsorted) (Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted)) (or (not (= (vapp _let_0 Ve2) _let_2)) (not (visSomeExp _let_1)) (not (= (vsomeExp (vapp _let_0 (vgetSomeExp _let_1))) (vreduce _let_2)))) )))) 0.64/0.87 ( skv_24 skv_25 skv_26 skv_27 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Vx $$unsorted) (Ve2 $$unsorted) (VT1 $$unsorted) (VT2 $$unsorted)) (or (not (vtcheck (vbind Vx VT1 (vbind skv_7 skv_9 skv_3)) Ve2 VT2)) (not (= (varrow VT1 VT2) skv_10)) (not (= (vabs Vx VT1 Ve2) skv_8))) ) 0.64/0.87 ( skv_28 skv_29 skv_30 skv_31 ) 0.64/0.87 ) 0.64/0.87 (skolem (let ((_let_0 (vbind skv_7 skv_9 skv_3))) (forall ((Ve1 $$unsorted) (Ve2 $$unsorted) (VS $$unsorted)) (or (not (vtcheck _let_0 Ve1 (varrow VS skv_10))) (not (vtcheck _let_0 Ve2 VS)) (not (= (vapp Ve1 Ve2) skv_8))) )) 0.64/0.87 ( skv_32 skv_33 skv_34 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Vx $$unsorted)) (or (not (= (vvar Vx) skv_8)) (not (= (vlookup Vx (vbind skv_7 skv_9 skv_3)) (vsomeType skv_10)))) ) 0.64/0.87 ( skv_35 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Vx $$unsorted) (Ve2 $$unsorted) (VT1 $$unsorted) (VT2 $$unsorted)) (or (not (vtcheck (vbind Vx VT1 skv_3) Ve2 VT2)) (not (= (varrow VT1 VT2) skv_13)) (not (= (vabs Vx VT1 Ve2) skv_12))) ) 0.64/0.87 ( skv_36 skv_37 skv_38 skv_39 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Ve1 $$unsorted) (Ve2 $$unsorted) (VS $$unsorted)) (or (not (vtcheck skv_3 Ve1 (varrow VS skv_13))) (not (vtcheck skv_3 Ve2 VS)) (not (= (vapp Ve1 Ve2) skv_12))) ) 0.64/0.87 ( skv_40 skv_41 skv_42 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Vx $$unsorted)) (or (not (= (vvar Vx) skv_12)) (not (= (vlookup Vx skv_3) (vsomeType skv_13)))) ) 0.64/0.87 ( skv_43 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Vx $$unsorted) (Ve2 $$unsorted) (VT1 $$unsorted) (VT2 $$unsorted)) (or (not (vtcheck (vbind Vx VT1 skv_3) Ve2 VT2)) (not (= (varrow VT1 VT2) (varrow skv_13 skv_6))) (not (= (vabs Vx VT1 Ve2) skv_11))) ) 0.64/0.87 ( skv_44 skv_45 skv_46 skv_47 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Ve1 $$unsorted) (Ve2 $$unsorted) (VS $$unsorted)) (or (not (vtcheck skv_3 Ve1 (varrow VS (varrow skv_13 skv_6)))) (not (vtcheck skv_3 Ve2 VS)) (not (= (vapp Ve1 Ve2) skv_11))) ) 0.64/0.87 ( skv_48 skv_49 skv_50 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Vx $$unsorted)) (or (not (= (vvar Vx) skv_11)) (not (= (vlookup Vx skv_3) (vsomeType (varrow skv_13 skv_6))))) ) 0.64/0.87 ( skv_51 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((VC $$unsorted) (VTy $$unsorted)) (or (not (= skv_3 (vbind skv_14 VTy VC))) (not (= (vsomeType VTy) (vlookup skv_14 skv_3)))) ) 0.64/0.87 ( skv_52 skv_53 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((VTy $$unsorted) (Vy $$unsorted) (VC $$unsorted)) (or (not (= (vbind Vy VTy VC) skv_3)) (= Vy skv_14) (not (= (vlookup skv_14 skv_3) (vlookup skv_14 VC)))) ) 0.64/0.87 ( skv_54 skv_55 skv_56 ) 0.64/0.87 ) 0.64/0.87 (skolem (let ((_let_0 (vbind skv_1 skv_2 skv_3))) (forall ((VC $$unsorted) (VTy $$unsorted)) (or (not (= _let_0 (vbind skv_1 VTy VC))) (not (= (vsomeType VTy) (vlookup skv_1 _let_0)))) )) 0.64/0.87 ( skv_57 skv_58 ) 0.64/0.87 ) 0.64/0.87 (skolem (let ((_let_0 (vbind skv_1 skv_2 skv_3))) (forall ((VTy $$unsorted) (Vy $$unsorted) (VC $$unsorted)) (or (not (= (vbind Vy VTy VC) _let_0)) (= Vy skv_1) (not (= (vlookup skv_1 _let_0) (vlookup skv_1 VC)))) )) 0.64/0.87 ( skv_59 skv_60 skv_61 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((VC $$unsorted) (VTy $$unsorted)) (or (not (= skv_3 (vbind skv_1 VTy VC))) (not (= (vsomeType VTy) (vlookup skv_1 skv_3)))) ) 0.64/0.87 ( skv_62 skv_63 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((VTy $$unsorted) (Vy $$unsorted) (VC $$unsorted)) (or (not (= (vbind Vy VTy VC) skv_3)) (= Vy skv_1) (not (= (vlookup skv_1 skv_3) (vlookup skv_1 VC)))) ) 0.64/0.87 ( skv_64 skv_65 skv_66 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Vx $$unsorted) (VS $$unsorted) (Ve $$unsorted)) (not (= (vabs Vx VS Ve) (vabs skv_4 skv_5 veabs))) ) 0.64/0.87 ( skv_67 skv_68 skv_69 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) skv_48)) ) 0.64/0.87 ( skv_70 skv_71 skv_72 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) skv_40)) ) 0.64/0.87 ( skv_73 skv_74 skv_75 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) skv_32)) ) 0.64/0.87 ( skv_76 skv_77 skv_78 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) (vabs skv_25 skv_26 skv_27))) ) 0.64/0.87 ( skv_79 skv_80 skv_81 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) (vabs skv_21 skv_20 skv_23))) ) 0.64/0.87 ( skv_82 skv_83 skv_84 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) (vgetSomeExp (vreduce skv_18)))) ) 0.64/0.87 ( skv_85 skv_86 skv_87 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) skv_18)) ) 0.64/0.87 ( skv_88 skv_89 skv_90 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) (vgetSomeExp (vreduce skv_11)))) ) 0.64/0.87 ( skv_91 skv_92 skv_93 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Vx $$unsorted) (VS $$unsorted) (Ve $$unsorted)) (not (= (vabs Vx VS Ve) skv_24)) ) 0.64/0.87 ( skv_94 skv_95 skv_96 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Ve2 $$unsorted) (Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted)) (or (visSomeExp (vreduce Ve2)) (visValue Ve2) (not (= (vapp (vabs Vx VS Ve1) Ve2) skv_24))) ) 0.64/0.87 ( skv_97 skv_98 skv_99 skv_100 ) 0.64/0.87 ) 0.64/0.87 (skolem (let ((_let_0 (vreduce Ve1))) (forall ((Ve1 $$unsorted) (Ve2 $$unsorted)) (or (not (visSomeExp _let_0)) (not (= (vsomeExp (vapp (vgetSomeExp _let_0) Ve2)) (vreduce skv_24))) (not (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= Ve1 (vabs VVx0 VVS0 VVe10))) )) (not (= (vapp Ve1 Ve2) skv_24))) )) 0.64/0.87 ( skv_101 skv_102 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Ve2 $$unsorted) (Ve1 $$unsorted)) (or (not (= (vapp Ve1 Ve2) skv_24)) (not (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= Ve1 (vabs VVx0 VVS0 VVe10))) )) (visSomeExp (vreduce Ve1))) ) 0.64/0.87 ( skv_103 skv_104 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((VS $$unsorted) (Vx $$unsorted) (Ve2 $$unsorted) (Ve1 $$unsorted)) (or (visSomeExp (vreduce Ve2)) (not (visValue Ve2)) (not (= (vsomeExp (vsubst Vx Ve2 Ve1)) (vreduce skv_24))) (not (= (vapp (vabs Vx VS Ve1) Ve2) skv_24))) ) 0.64/0.87 ( skv_105 skv_106 skv_107 skv_108 ) 0.64/0.87 ) 0.64/0.87 (skolem (let ((_let_0 (vabs Vx VS Ve1))) (let ((_let_1 (vreduce Ve2))) (forall ((Ve2 $$unsorted) (Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted)) (or (not (= (vapp _let_0 Ve2) skv_24)) (not (visSomeExp _let_1)) (not (= (vsomeExp (vapp _let_0 (vgetSomeExp _let_1))) (vreduce skv_24)))) ))) 0.64/0.87 ( skv_109 skv_110 skv_111 skv_112 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Vx $$unsorted)) (not (= (vvar Vx) skv_24)) ) 0.64/0.87 ( skv_113 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Vx $$unsorted) (VS $$unsorted) (Ve $$unsorted)) (not (= (vabs Vx VS Ve) skv_22)) ) 0.64/0.87 ( skv_114 skv_115 skv_116 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Ve2 $$unsorted) (Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted)) (or (visSomeExp (vreduce Ve2)) (visValue Ve2) (not (= (vapp (vabs Vx VS Ve1) Ve2) skv_22))) ) 0.64/0.87 ( skv_117 skv_118 skv_119 skv_120 ) 0.64/0.87 ) 0.64/0.87 (skolem (let ((_let_0 (vreduce Ve1))) (forall ((Ve1 $$unsorted) (Ve2 $$unsorted)) (or (not (visSomeExp _let_0)) (not (= (vsomeExp (vapp (vgetSomeExp _let_0) Ve2)) (vreduce skv_22))) (not (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= Ve1 (vabs VVx0 VVS0 VVe10))) )) (not (= (vapp Ve1 Ve2) skv_22))) )) 0.64/0.87 ( skv_121 skv_122 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Ve2 $$unsorted) (Ve1 $$unsorted)) (or (not (= (vapp Ve1 Ve2) skv_22)) (not (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= Ve1 (vabs VVx0 VVS0 VVe10))) )) (visSomeExp (vreduce Ve1))) ) 0.64/0.87 ( skv_123 skv_124 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((VS $$unsorted) (Vx $$unsorted) (Ve2 $$unsorted) (Ve1 $$unsorted)) (or (visSomeExp (vreduce Ve2)) (not (visValue Ve2)) (not (= (vsomeExp (vsubst Vx Ve2 Ve1)) (vreduce skv_22))) (not (= (vapp (vabs Vx VS Ve1) Ve2) skv_22))) ) 0.64/0.87 ( skv_125 skv_126 skv_127 skv_128 ) 0.64/0.87 ) 0.64/0.87 (skolem (let ((_let_0 (vabs Vx VS Ve1))) (let ((_let_1 (vreduce Ve2))) (forall ((Ve2 $$unsorted) (Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted)) (or (not (= (vapp _let_0 Ve2) skv_22)) (not (visSomeExp _let_1)) (not (= (vsomeExp (vapp _let_0 (vgetSomeExp _let_1))) (vreduce skv_22)))) ))) 0.64/0.87 ( skv_129 skv_130 skv_131 skv_132 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Vx $$unsorted)) (not (= (vvar Vx) skv_22)) ) 0.64/0.87 ( skv_133 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Ve2 $$unsorted) (Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted)) (or (visSomeExp (vreduce Ve2)) (visValue Ve2) (not (= (vapp (vabs Vx VS Ve1) Ve2) skv_18))) ) 0.64/0.87 ( skv_134 skv_135 skv_136 skv_137 ) 0.64/0.87 ) 0.64/0.87 (skolem (let ((_let_0 (vreduce Ve1))) (forall ((Ve1 $$unsorted) (Ve2 $$unsorted)) (or (not (visSomeExp _let_0)) (not (= (vsomeExp (vapp (vgetSomeExp _let_0) Ve2)) (vreduce skv_18))) (not (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= Ve1 (vabs VVx0 VVS0 VVe10))) )) (not (= (vapp Ve1 Ve2) skv_18))) )) 0.64/0.87 ( skv_138 skv_139 ) 0.64/0.87 ) 0.64/0.87 (skolem (forall ((Ve2 $$unsorted) (Ve1 $$unsorted)) (or (not (= (vapp Ve1 Ve2) skv_18)) (not (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= Ve1 (vabs VVx0 VVS0 VVe10))) )) (visSomeExp (vreduce Ve1))) ) 0.64/0.87 ( skv_140 skv_141 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((VS $$unsorted) (Vx $$unsorted) (Ve2 $$unsorted) (Ve1 $$unsorted)) (or (visSomeExp (vreduce Ve2)) (not (visValue Ve2)) (not (= (vsomeExp (vsubst Vx Ve2 Ve1)) (vreduce skv_18))) (not (= (vapp (vabs Vx VS Ve1) Ve2) skv_18))) ) 0.64/0.88 ( skv_142 skv_143 skv_144 skv_145 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vabs Vx VS Ve1))) (let ((_let_1 (vreduce Ve2))) (forall ((Ve2 $$unsorted) (Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted)) (or (not (= (vapp _let_0 Ve2) skv_18)) (not (visSomeExp _let_1)) (not (= (vsomeExp (vapp _let_0 (vgetSomeExp _let_1))) (vreduce skv_18)))) ))) 0.64/0.88 ( skv_146 skv_147 skv_148 skv_149 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted)) (not (= (vvar Vx) skv_18)) ) 0.64/0.88 ( skv_150 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vreduce Ve1))) (let ((_let_1 (vvar skv_14))) (forall ((Ve1 $$unsorted) (Ve2 $$unsorted)) (or (not (visSomeExp _let_0)) (not (= (vsomeExp (vapp (vgetSomeExp _let_0) Ve2)) (vreduce _let_1))) (not (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= Ve1 (vabs VVx0 VVS0 VVe10))) )) (not (= (vapp Ve1 Ve2) _let_1))) ))) 0.64/0.88 ( skv_151 skv_152 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vvar skv_14))) (forall ((VS $$unsorted) (Vx $$unsorted) (Ve2 $$unsorted) (Ve1 $$unsorted)) (or (visSomeExp (vreduce Ve2)) (not (visValue Ve2)) (not (= (vsomeExp (vsubst Vx Ve2 Ve1)) (vreduce _let_0))) (not (= (vapp (vabs Vx VS Ve1) Ve2) _let_0))) )) 0.64/0.88 ( skv_153 skv_154 skv_155 skv_156 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vabs Vx VS Ve1))) (let ((_let_1 (vreduce Ve2))) (let ((_let_2 (vvar skv_14))) (forall ((Ve2 $$unsorted) (Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted)) (or (not (= (vapp _let_0 Ve2) _let_2)) (not (visSomeExp _let_1)) (not (= (vsomeExp (vapp _let_0 (vgetSomeExp _let_1))) (vreduce _let_2)))) )))) 0.64/0.88 ( skv_157 skv_158 skv_159 skv_160 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted) (VS $$unsorted) (Ve $$unsorted)) (not (= (vabs Vx VS Ve) (vapp skv_11 skv_12))) ) 0.64/0.88 ( skv_161 skv_162 skv_163 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Ve2 $$unsorted) (Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted)) (or (visSomeExp (vreduce Ve2)) (visValue Ve2) (not (= (vapp (vabs Vx VS Ve1) Ve2) (vapp skv_11 skv_12)))) ) 0.64/0.88 ( skv_164 skv_165 skv_166 skv_167 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vreduce Ve1))) (let ((_let_1 (vapp skv_11 skv_12))) (forall ((Ve1 $$unsorted) (Ve2 $$unsorted)) (or (not (visSomeExp _let_0)) (not (= (vsomeExp (vapp (vgetSomeExp _let_0) Ve2)) (vreduce _let_1))) (not (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= Ve1 (vabs VVx0 VVS0 VVe10))) )) (not (= (vapp Ve1 Ve2) _let_1))) ))) 0.64/0.88 ( skv_168 skv_169 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Ve2 $$unsorted) (Ve1 $$unsorted)) (or (not (= (vapp Ve1 Ve2) (vapp skv_11 skv_12))) (not (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= Ve1 (vabs VVx0 VVS0 VVe10))) )) (visSomeExp (vreduce Ve1))) ) 0.64/0.88 ( skv_170 skv_171 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vapp skv_11 skv_12))) (forall ((VS $$unsorted) (Vx $$unsorted) (Ve2 $$unsorted) (Ve1 $$unsorted)) (or (visSomeExp (vreduce Ve2)) (not (visValue Ve2)) (not (= (vsomeExp (vsubst Vx Ve2 Ve1)) (vreduce _let_0))) (not (= (vapp (vabs Vx VS Ve1) Ve2) _let_0))) )) 0.64/0.88 ( skv_172 skv_173 skv_174 skv_175 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vabs Vx VS Ve1))) (let ((_let_1 (vreduce Ve2))) (let ((_let_2 (vapp skv_11 skv_12))) (forall ((Ve2 $$unsorted) (Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted)) (or (not (= (vapp _let_0 Ve2) _let_2)) (not (visSomeExp _let_1)) (not (= (vsomeExp (vapp _let_0 (vgetSomeExp _let_1))) (vreduce _let_2)))) )))) 0.64/0.88 ( skv_176 skv_177 skv_178 skv_179 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted)) (not (= (vvar Vx) (vapp skv_11 skv_12))) ) 0.64/0.88 ( skv_180 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vreduce Ve1))) (forall ((Ve1 $$unsorted) (Ve2 $$unsorted)) (or (not (visSomeExp _let_0)) (not (= (vsomeExp (vapp (vgetSomeExp _let_0) Ve2)) (vreduce skv_11))) (not (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= Ve1 (vabs VVx0 VVS0 VVe10))) )) (not (= (vapp Ve1 Ve2) skv_11))) )) 0.64/0.88 ( skv_181 skv_182 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((VS $$unsorted) (Vx $$unsorted) (Ve2 $$unsorted) (Ve1 $$unsorted)) (or (visSomeExp (vreduce Ve2)) (not (visValue Ve2)) (not (= (vsomeExp (vsubst Vx Ve2 Ve1)) (vreduce skv_11))) (not (= (vapp (vabs Vx VS Ve1) Ve2) skv_11))) ) 0.64/0.88 ( skv_183 skv_184 skv_185 skv_186 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vabs Vx VS Ve1))) (let ((_let_1 (vreduce Ve2))) (forall ((Ve2 $$unsorted) (Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted)) (or (not (= (vapp _let_0 Ve2) skv_11)) (not (visSomeExp _let_1)) (not (= (vsomeExp (vapp _let_0 (vgetSomeExp _let_1))) (vreduce skv_11)))) ))) 0.64/0.88 ( skv_187 skv_188 skv_189 skv_190 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted) (Ve2 $$unsorted) (VT1 $$unsorted) (VT2 $$unsorted)) (or (not (vtcheck (vbind Vx VT1 (vbind skv_44 skv_46 skv_3)) Ve2 VT2)) (not (= (varrow VT1 VT2) skv_47)) (not (= (vabs Vx VT1 Ve2) skv_45))) ) 0.64/0.88 ( skv_191 skv_192 skv_193 skv_194 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vbind skv_44 skv_46 skv_3))) (forall ((Ve1 $$unsorted) (Ve2 $$unsorted) (VS $$unsorted)) (or (not (vtcheck _let_0 Ve1 (varrow VS skv_47))) (not (vtcheck _let_0 Ve2 VS)) (not (= (vapp Ve1 Ve2) skv_45))) )) 0.64/0.88 ( skv_195 skv_196 skv_197 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted)) (or (not (= (vvar Vx) skv_45)) (not (= (vlookup Vx (vbind skv_44 skv_46 skv_3)) (vsomeType skv_47)))) ) 0.64/0.88 ( skv_198 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted) (Ve2 $$unsorted) (VT1 $$unsorted) (VT2 $$unsorted)) (or (not (vtcheck (vbind Vx VT1 (vbind skv_36 skv_38 skv_3)) Ve2 VT2)) (not (= (varrow VT1 VT2) skv_39)) (not (= (vabs Vx VT1 Ve2) skv_37))) ) 0.64/0.88 ( skv_199 skv_200 skv_201 skv_202 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vbind skv_36 skv_38 skv_3))) (forall ((Ve1 $$unsorted) (Ve2 $$unsorted) (VS $$unsorted)) (or (not (vtcheck _let_0 Ve1 (varrow VS skv_39))) (not (vtcheck _let_0 Ve2 VS)) (not (= (vapp Ve1 Ve2) skv_37))) )) 0.64/0.88 ( skv_203 skv_204 skv_205 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted)) (or (not (= (vvar Vx) skv_37)) (not (= (vlookup Vx (vbind skv_36 skv_38 skv_3)) (vsomeType skv_39)))) ) 0.64/0.88 ( skv_206 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted) (Ve2 $$unsorted) (VT1 $$unsorted) (VT2 $$unsorted)) (or (not (vtcheck (vbind Vx VT1 (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3))) Ve2 VT2)) (not (= (varrow VT1 VT2) skv_31)) (not (= (vabs Vx VT1 Ve2) skv_29))) ) 0.64/0.88 ( skv_207 skv_208 skv_209 skv_210 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3)))) (forall ((Ve1 $$unsorted) (Ve2 $$unsorted) (VS $$unsorted)) (or (not (vtcheck _let_0 Ve1 (varrow VS skv_31))) (not (vtcheck _let_0 Ve2 VS)) (not (= (vapp Ve1 Ve2) skv_29))) )) 0.64/0.88 ( skv_211 skv_212 skv_213 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted)) (or (not (= (vvar Vx) skv_29)) (not (= (vlookup Vx (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3))) (vsomeType skv_31)))) ) 0.64/0.88 ( skv_214 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted) (Ve2 $$unsorted) (VT1 $$unsorted) (VT2 $$unsorted)) (or (not (vtcheck (vbind Vx VT1 (vbind skv_7 skv_9 skv_3)) Ve2 VT2)) (not (= (varrow VT1 VT2) skv_34)) (not (= (vabs Vx VT1 Ve2) skv_33))) ) 0.64/0.88 ( skv_215 skv_216 skv_217 skv_218 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vbind skv_7 skv_9 skv_3))) (forall ((Ve1 $$unsorted) (Ve2 $$unsorted) (VS $$unsorted)) (or (not (vtcheck _let_0 Ve1 (varrow VS skv_34))) (not (vtcheck _let_0 Ve2 VS)) (not (= (vapp Ve1 Ve2) skv_33))) )) 0.64/0.88 ( skv_219 skv_220 skv_221 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted)) (or (not (= (vvar Vx) skv_33)) (not (= (vlookup Vx (vbind skv_7 skv_9 skv_3)) (vsomeType skv_34)))) ) 0.64/0.88 ( skv_222 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted) (Ve2 $$unsorted) (VT1 $$unsorted) (VT2 $$unsorted)) (or (not (vtcheck (vbind Vx VT1 (vbind skv_7 skv_9 skv_3)) Ve2 VT2)) (not (= (varrow VT1 VT2) (varrow skv_34 skv_10))) (not (= (vabs Vx VT1 Ve2) skv_32))) ) 0.64/0.88 ( skv_223 skv_224 skv_225 skv_226 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vbind skv_7 skv_9 skv_3))) (forall ((Ve1 $$unsorted) (Ve2 $$unsorted) (VS $$unsorted)) (or (not (vtcheck _let_0 Ve1 (varrow VS (varrow skv_34 skv_10)))) (not (vtcheck _let_0 Ve2 VS)) (not (= (vapp Ve1 Ve2) skv_32))) )) 0.64/0.88 ( skv_227 skv_228 skv_229 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted)) (or (not (= (vvar Vx) skv_32)) (not (= (vlookup Vx (vbind skv_7 skv_9 skv_3)) (vsomeType (varrow skv_34 skv_10))))) ) 0.64/0.88 ( skv_230 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted) (Ve2 $$unsorted) (VT1 $$unsorted) (VT2 $$unsorted)) (or (not (vtcheck (vbind Vx VT1 skv_3) Ve2 VT2)) (not (= (varrow VT1 VT2) skv_50)) (not (= (vabs Vx VT1 Ve2) skv_49))) ) 0.64/0.88 ( skv_231 skv_232 skv_233 skv_234 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Ve1 $$unsorted) (Ve2 $$unsorted) (VS $$unsorted)) (or (not (vtcheck skv_3 Ve1 (varrow VS skv_50))) (not (vtcheck skv_3 Ve2 VS)) (not (= (vapp Ve1 Ve2) skv_49))) ) 0.64/0.88 ( skv_235 skv_236 skv_237 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted)) (or (not (= (vvar Vx) skv_49)) (not (= (vlookup Vx skv_3) (vsomeType skv_50)))) ) 0.64/0.88 ( skv_238 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted) (Ve2 $$unsorted) (VT1 $$unsorted) (VT2 $$unsorted)) (or (not (vtcheck (vbind Vx VT1 skv_3) Ve2 VT2)) (not (= (varrow VT1 VT2) (varrow skv_50 (varrow skv_13 skv_6)))) (not (= (vabs Vx VT1 Ve2) skv_48))) ) 0.64/0.88 ( skv_239 skv_240 skv_241 skv_242 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Ve1 $$unsorted) (Ve2 $$unsorted) (VS $$unsorted)) (or (not (vtcheck skv_3 Ve1 (varrow VS (varrow skv_50 (varrow skv_13 skv_6))))) (not (vtcheck skv_3 Ve2 VS)) (not (= (vapp Ve1 Ve2) skv_48))) ) 0.64/0.88 ( skv_243 skv_244 skv_245 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted)) (or (not (= (vvar Vx) skv_48)) (not (= (vlookup Vx skv_3) (vsomeType (varrow skv_50 (varrow skv_13 skv_6)))))) ) 0.64/0.88 ( skv_246 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted) (Ve2 $$unsorted) (VT1 $$unsorted) (VT2 $$unsorted)) (or (not (vtcheck (vbind Vx VT1 skv_3) Ve2 VT2)) (not (= (varrow VT1 VT2) skv_42)) (not (= (vabs Vx VT1 Ve2) skv_41))) ) 0.64/0.88 ( skv_247 skv_248 skv_249 skv_250 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Ve1 $$unsorted) (Ve2 $$unsorted) (VS $$unsorted)) (or (not (vtcheck skv_3 Ve1 (varrow VS skv_42))) (not (vtcheck skv_3 Ve2 VS)) (not (= (vapp Ve1 Ve2) skv_41))) ) 0.64/0.88 ( skv_251 skv_252 skv_253 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted)) (or (not (= (vvar Vx) skv_41)) (not (= (vlookup Vx skv_3) (vsomeType skv_42)))) ) 0.64/0.88 ( skv_254 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted) (Ve2 $$unsorted) (VT1 $$unsorted) (VT2 $$unsorted)) (or (not (vtcheck (vbind Vx VT1 skv_3) Ve2 VT2)) (not (= (varrow VT1 VT2) (varrow skv_42 skv_13))) (not (= (vabs Vx VT1 Ve2) skv_40))) ) 0.64/0.88 ( skv_255 skv_256 skv_257 skv_258 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Ve1 $$unsorted) (Ve2 $$unsorted) (VS $$unsorted)) (or (not (vtcheck skv_3 Ve1 (varrow VS (varrow skv_42 skv_13)))) (not (vtcheck skv_3 Ve2 VS)) (not (= (vapp Ve1 Ve2) skv_40))) ) 0.64/0.88 ( skv_259 skv_260 skv_261 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted)) (or (not (= (vvar Vx) skv_40)) (not (= (vlookup Vx skv_3) (vsomeType (varrow skv_42 skv_13))))) ) 0.64/0.88 ( skv_262 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted) (Ve2 $$unsorted) (VT1 $$unsorted) (VT2 $$unsorted)) (or (not (vtcheck (vbind Vx VT1 skv_3) Ve2 VT2)) (not (= (varrow VT1 VT2) skv_6)) (not (= (vabs Vx VT1 Ve2) (vapp skv_11 skv_12)))) ) 0.64/0.88 ( skv_263 skv_264 skv_265 skv_266 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Ve1 $$unsorted) (Ve2 $$unsorted) (VS $$unsorted)) (or (not (vtcheck skv_3 Ve1 (varrow VS skv_6))) (not (vtcheck skv_3 Ve2 VS)) (not (= (vapp Ve1 Ve2) (vapp skv_11 skv_12)))) ) 0.64/0.88 ( skv_267 skv_268 skv_269 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted)) (or (not (= (vvar Vx) (vapp skv_11 skv_12))) (not (= (vlookup Vx skv_3) (vsomeType skv_6)))) ) 0.64/0.88 ( skv_270 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vabs skv_21 VT Ve1))) (forall ((VT $$unsorted) (Ve1 $$unsorted)) (or (not (= (vsubst skv_21 skv_22 skv_23) _let_0)) (not (= skv_23 _let_0))) )) 0.64/0.88 ( skv_271 skv_272 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vy $$unsorted) (VT $$unsorted) (Ve1 $$unsorted)) (or (visFreeVar Vy skv_22) (not (= (vsubst skv_21 skv_22 skv_23) (vabs Vy VT (vsubst skv_21 skv_22 Ve1)))) (= Vy skv_21) (not (= (vabs Vy VT Ve1) skv_23))) ) 0.64/0.88 ( skv_273 skv_274 skv_275 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vgensym (vapp (vapp skv_22 Ve1) (vvar skv_21))))) (forall ((VT $$unsorted) (Vy $$unsorted) (Ve1 $$unsorted)) (or (not (= (vsubst skv_21 skv_22 skv_23) (vsubst skv_21 skv_22 (vabs _let_0 VT (vsubst Vy (vvar _let_0) Ve1))))) (not (visFreeVar Vy skv_22)) (= Vy skv_21) (not (= (vabs Vy VT Ve1) skv_23))) )) 0.64/0.88 ( skv_276 skv_277 skv_278 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Ve1 $$unsorted) (Ve2 $$unsorted)) (or (not (= (vapp Ve1 Ve2) skv_23)) (not (= (vsubst skv_21 skv_22 skv_23) (vapp (vsubst skv_21 skv_22 Ve1) (vsubst skv_21 skv_22 Ve2))))) ) 0.64/0.88 ( skv_279 skv_280 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vvar Vy))) (forall ((Vy $$unsorted)) (or (not (= _let_0 skv_23)) (= Vy skv_21) (not (= _let_0 (vsubst skv_21 skv_22 skv_23)))) )) 0.64/0.88 ( skv_281 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((VC $$unsorted) (VTy $$unsorted)) (or (not (= skv_3 (vbind skv_51 VTy VC))) (not (= (vsomeType VTy) (vlookup skv_51 skv_3)))) ) 0.64/0.88 ( skv_282 skv_283 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((VTy $$unsorted) (Vy $$unsorted) (VC $$unsorted)) (or (not (= (vbind Vy VTy VC) skv_3)) (= Vy skv_51) (not (= (vlookup skv_51 skv_3) (vlookup skv_51 VC)))) ) 0.64/0.88 ( skv_284 skv_285 skv_286 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((VC $$unsorted) (VTy $$unsorted)) (or (not (= skv_3 (vbind skv_43 VTy VC))) (not (= (vsomeType VTy) (vlookup skv_43 skv_3)))) ) 0.64/0.88 ( skv_287 skv_288 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((VTy $$unsorted) (Vy $$unsorted) (VC $$unsorted)) (or (not (= (vbind Vy VTy VC) skv_3)) (= Vy skv_43) (not (= (vlookup skv_43 skv_3) (vlookup skv_43 VC)))) ) 0.64/0.88 ( skv_289 skv_290 skv_291 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vbind skv_7 skv_9 skv_3))) (forall ((VC $$unsorted) (VTy $$unsorted)) (or (not (= _let_0 (vbind skv_35 VTy VC))) (not (= (vsomeType VTy) (vlookup skv_35 _let_0)))) )) 0.64/0.88 ( skv_292 skv_293 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vbind skv_7 skv_9 skv_3))) (forall ((VTy $$unsorted) (Vy $$unsorted) (VC $$unsorted)) (or (not (= (vbind Vy VTy VC) _let_0)) (= Vy skv_35) (not (= (vlookup skv_35 _let_0) (vlookup skv_35 VC)))) )) 0.64/0.88 ( skv_294 skv_295 skv_296 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((VC $$unsorted) (VTy $$unsorted)) (or (not (= (vbind skv_14 VTy VC) skv_56)) (not (= (vsomeType VTy) (vlookup skv_14 skv_56)))) ) 0.64/0.88 ( skv_297 skv_298 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((VTy $$unsorted) (Vy $$unsorted) (VC $$unsorted)) (or (not (= (vbind Vy VTy VC) skv_56)) (= Vy skv_14) (not (= (vlookup skv_14 VC) (vlookup skv_14 skv_56)))) ) 0.64/0.88 ( skv_299 skv_300 skv_301 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vbind skv_1 skv_2 skv_3))) (forall ((VC $$unsorted) (VTy $$unsorted)) (or (not (= _let_0 (vbind skv_14 VTy VC))) (not (= (vsomeType VTy) (vlookup skv_14 _let_0)))) )) 0.64/0.88 ( skv_302 skv_303 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vbind skv_1 skv_2 skv_3))) (forall ((VTy $$unsorted) (Vy $$unsorted) (VC $$unsorted)) (or (not (= (vbind Vy VTy VC) _let_0)) (= Vy skv_14) (not (= (vlookup skv_14 _let_0) (vlookup skv_14 VC)))) )) 0.64/0.88 ( skv_304 skv_305 skv_306 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vbind skv_7 skv_9 skv_3))) (forall ((VC $$unsorted) (VTy $$unsorted)) (or (not (= _let_0 (vbind skv_7 VTy VC))) (not (= (vsomeType VTy) (vlookup skv_7 _let_0)))) )) 0.64/0.88 ( skv_307 skv_308 ) 0.64/0.88 ) 0.64/0.88 (skolem (let ((_let_0 (vbind skv_7 skv_9 skv_3))) (forall ((VTy $$unsorted) (Vy $$unsorted) (VC $$unsorted)) (or (not (= (vbind Vy VTy VC) _let_0)) (= Vy skv_7) (not (= (vlookup skv_7 _let_0) (vlookup skv_7 VC)))) )) 0.64/0.88 ( skv_309 skv_310 skv_311 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((VC $$unsorted) (VTy $$unsorted)) (or (not (= (vbind skv_1 VTy VC) skv_66)) (not (= (vsomeType VTy) (vlookup skv_1 skv_66)))) ) 0.64/0.88 ( skv_312 skv_313 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((VTy $$unsorted) (Vy $$unsorted) (VC $$unsorted)) (or (not (= (vbind Vy VTy VC) skv_66)) (= Vy skv_1) (not (= (vlookup skv_1 VC) (vlookup skv_1 skv_66)))) ) 0.64/0.88 ( skv_314 skv_315 skv_316 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((VC $$unsorted) (VTy $$unsorted)) (or (not (= (vbind skv_1 VTy VC) skv_61)) (not (= (vsomeType VTy) (vlookup skv_1 skv_61)))) ) 0.64/0.88 ( skv_317 skv_318 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((VTy $$unsorted) (Vy $$unsorted) (VC $$unsorted)) (or (not (= (vbind Vy VTy VC) skv_61)) (= Vy skv_1) (not (= (vlookup skv_1 VC) (vlookup skv_1 skv_61)))) ) 0.64/0.88 ( skv_319 skv_320 skv_321 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Ve2 $$unsorted) (Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted)) (or (visSomeExp (vreduce Ve2)) (visValue Ve2) (not (= (vapp (vabs Vx VS Ve1) Ve2) (vabs skv_4 skv_5 veabs)))) ) 0.64/0.88 ( skv_322 skv_323 skv_324 skv_325 ) 0.64/0.88 ) 0.64/0.88 (skolem (forall ((Vx $$unsorted)) (not (= (vvar Vx) (vvar skv_14))) ) 0.64/0.88 ( skv_326 ) 0.64/0.88 ) 0.64/0.88 (instantiation (forall ((VVar0 $$unsorted) (VTyp0 $$unsorted) (VCtx0 $$unsorted)) (not (= vempty (vbind VVar0 VTyp0 VCtx0))) ) 0.64/0.88 ( skv_1, skv_2, skv_3 ) 0.64/0.88 ( skv_1, skv_2, (vbind skv_7 skv_9 skv_3) ) 0.64/0.88 ( skv_1, skv_2, skv_66 ) 0.64/0.88 ( skv_1, skv_2, (vbind skv_65 skv_64 skv_66) ) 0.64/0.88 ( skv_1, skv_58, skv_57 ) 0.64/0.88 ( skv_1, skv_63, skv_62 ) 0.64/0.88 ( skv_1, skv_313, skv_312 ) 0.64/0.88 ( skv_1, skv_318, skv_317 ) 0.64/0.88 ( skv_7, skv_9, skv_3 ) 0.64/0.88 ( skv_7, skv_9, (vbind skv_1 skv_2 skv_3) ) 0.64/0.88 ( skv_7, skv_9, skv_66 ) 0.64/0.88 ( skv_7, skv_9, (vbind skv_65 skv_64 skv_66) ) 0.64/0.88 ( skv_7, skv_9, (vbind skv_28 skv_30 skv_3) ) 0.64/0.88 ( skv_7, skv_308, skv_307 ) 0.64/0.88 ( skv_14, skv_53, skv_52 ) 0.64/0.88 ( skv_14, skv_298, skv_297 ) 0.64/0.88 ( skv_14, skv_303, skv_302 ) 0.64/0.88 ( skv_28, skv_30, skv_3 ) 0.64/0.88 ( skv_28, skv_30, (vbind skv_7 skv_9 skv_3) ) 0.64/0.88 ( skv_28, skv_30, (vbind skv_7 skv_9 (vbind skv_1 skv_2 skv_3)) ) 0.64/0.88 ( skv_35, skv_293, skv_292 ) 0.64/0.88 ( skv_36, skv_38, skv_3 ) 0.64/0.88 ( skv_36, skv_38, skv_66 ) 0.64/0.88 ( skv_36, skv_38, (vbind skv_65 skv_64 skv_66) ) 0.64/0.88 ( skv_43, skv_288, skv_287 ) 0.64/0.88 ( skv_44, skv_46, skv_3 ) 0.64/0.88 ( skv_44, skv_46, skv_66 ) 0.64/0.88 ( skv_44, skv_46, (vbind skv_65 skv_64 skv_66) ) 0.64/0.88 ( skv_51, skv_283, skv_282 ) 0.64/0.88 ( skv_55, skv_54, skv_56 ) 0.64/0.88 ( skv_60, skv_59, skv_61 ) 0.64/0.88 ( skv_65, skv_64, skv_66 ) 0.64/0.88 ( skv_65, skv_64, (vbind skv_7 skv_9 skv_66) ) 0.64/0.88 ( skv_65, skv_64, (vbind skv_36 skv_38 skv_66) ) 0.64/0.88 ( skv_65, skv_64, (vbind skv_44 skv_46 skv_66) ) 0.64/0.88 ( skv_65, skv_64, (vbind skv_1 skv_2 skv_66) ) 0.64/0.88 ( skv_191, skv_193, (vbind skv_44 skv_46 skv_3) ) 0.64/0.88 ( skv_199, skv_201, (vbind skv_36 skv_38 skv_3) ) 0.64/0.88 ( skv_207, skv_209, (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3)) ) 0.64/0.88 ( skv_215, skv_217, (vbind skv_7 skv_9 skv_3) ) 0.64/0.88 ( skv_223, skv_225, (vbind skv_7 skv_9 skv_3) ) 0.64/0.88 ( skv_231, skv_233, skv_3 ) 0.64/0.88 ( skv_239, skv_241, skv_3 ) 0.64/0.88 ( skv_247, skv_249, skv_3 ) 0.64/0.88 ( skv_255, skv_257, skv_3 ) 0.64/0.88 ( skv_263, skv_265, skv_3 ) 0.64/0.88 ( skv_285, skv_284, skv_286 ) 0.64/0.88 ( skv_290, skv_289, skv_291 ) 0.64/0.88 ( skv_295, skv_294, skv_296 ) 0.64/0.88 ( skv_300, skv_299, skv_301 ) 0.64/0.88 ( skv_305, skv_304, skv_306 ) 0.64/0.88 ( skv_310, skv_309, skv_311 ) 0.64/0.88 ( skv_315, skv_314, skv_316 ) 0.64/0.88 ( skv_320, skv_319, skv_321 ) 0.64/0.88 ) 0.64/0.88 (instantiation (forall ((VTyp0 $$unsorted)) (not (= vnoType (vsomeType VTyp0))) ) 0.64/0.89 ( skv_2 ) 0.64/0.89 ( skv_6 ) 0.64/0.89 ( skv_9 ) 0.64/0.89 ( skv_10 ) 0.64/0.89 ( skv_13 ) 0.64/0.89 ( (varrow skv_13 skv_6) ) 0.64/0.89 ( skv_30 ) 0.64/0.89 ( skv_31 ) 0.64/0.89 ( skv_34 ) 0.64/0.89 ( (varrow skv_34 skv_10) ) 0.64/0.89 ( skv_38 ) 0.64/0.89 ( skv_39 ) 0.64/0.89 ( skv_42 ) 0.64/0.89 ( (varrow skv_42 skv_13) ) 0.64/0.89 ( skv_46 ) 0.64/0.89 ( skv_47 ) 0.64/0.89 ( skv_50 ) 0.64/0.89 ( (varrow skv_50 (varrow skv_13 skv_6)) ) 0.64/0.89 ( skv_53 ) 0.64/0.89 ( skv_54 ) 0.64/0.89 ( skv_58 ) 0.64/0.89 ( skv_59 ) 0.64/0.89 ( skv_63 ) 0.64/0.89 ( skv_64 ) 0.64/0.89 ( skv_283 ) 0.64/0.89 ( skv_288 ) 0.64/0.89 ( skv_293 ) 0.64/0.89 ( skv_298 ) 0.64/0.89 ( skv_303 ) 0.64/0.89 ( skv_308 ) 0.64/0.89 ( skv_313 ) 0.64/0.89 ( skv_318 ) 0.64/0.89 ) 0.64/0.89 (instantiation (forall ((VTy $$unsorted) (Vy $$unsorted) (Vx $$unsorted) (VC $$unsorted)) (or (= Vy Vx) (= (vlookup Vx VC) (vlookup Vx (vbind Vy VTy VC)))) ) 0.64/0.89 ( skv_2, skv_1, skv_1, skv_3 ) 0.64/0.89 ( skv_2, skv_1, skv_7, skv_3 ) 0.64/0.89 ( skv_2, skv_1, skv_14, skv_3 ) 0.64/0.89 ( skv_5, skv_4, skv_7, (vbind skv_1 skv_2 skv_3) ) 0.64/0.89 ( skv_5, skv_4, skv_35, (vbind skv_1 skv_2 skv_3) ) 0.64/0.89 ( skv_9, skv_7, skv_7, skv_3 ) 0.64/0.89 ( skv_9, skv_7, skv_35, skv_3 ) 0.64/0.89 ( skv_9, skv_7, skv_222, skv_3 ) 0.64/0.89 ( skv_9, skv_7, skv_230, skv_3 ) 0.64/0.89 ( skv_30, skv_28, skv_28, (vbind skv_7 skv_9 skv_3) ) 0.64/0.89 ( skv_30, skv_28, skv_214, (vbind skv_7 skv_9 skv_3) ) 0.64/0.89 ( skv_38, skv_36, skv_36, skv_3 ) 0.64/0.89 ( skv_38, skv_36, skv_206, skv_3 ) 0.64/0.89 ( skv_46, skv_44, skv_44, skv_3 ) 0.64/0.89 ( skv_46, skv_44, skv_198, skv_3 ) 0.64/0.89 ( skv_53, skv_14, skv_1, skv_52 ) 0.64/0.89 ( skv_53, skv_14, skv_14, skv_52 ) 0.64/0.89 ( skv_53, skv_14, skv_35, skv_52 ) 0.64/0.89 ( skv_53, skv_14, skv_43, skv_52 ) 0.64/0.89 ( skv_53, skv_14, skv_51, skv_52 ) 0.64/0.89 ( skv_53, skv_14, skv_55, skv_52 ) 0.64/0.89 ( skv_53, skv_14, skv_238, skv_52 ) 0.64/0.89 ( skv_53, skv_14, skv_246, skv_52 ) 0.64/0.89 ( skv_53, skv_14, skv_254, skv_52 ) 0.64/0.89 ( skv_53, skv_14, skv_262, skv_52 ) 0.64/0.89 ( skv_53, skv_14, skv_270, skv_52 ) 0.64/0.89 ( skv_54, skv_55, skv_1, skv_56 ) 0.64/0.89 ( skv_54, skv_55, skv_14, skv_56 ) 0.64/0.89 ( skv_58, skv_1, skv_1, skv_57 ) 0.64/0.89 ( skv_58, skv_1, skv_14, skv_57 ) 0.64/0.89 ( skv_59, skv_60, skv_1, skv_61 ) 0.64/0.89 ( skv_59, skv_60, skv_60, skv_61 ) 0.64/0.89 ( skv_63, skv_1, skv_14, skv_62 ) 0.64/0.89 ( skv_64, skv_65, skv_1, skv_66 ) 0.64/0.89 ( skv_64, skv_65, skv_14, skv_66 ) 0.64/0.89 ( skv_64, skv_65, skv_43, skv_66 ) 0.64/0.89 ( skv_64, skv_65, skv_51, skv_66 ) 0.64/0.89 ( skv_64, skv_65, skv_65, skv_66 ) 0.64/0.89 ( skv_293, skv_35, skv_7, skv_292 ) 0.64/0.89 ( skv_293, skv_35, skv_35, skv_292 ) 0.64/0.89 ( skv_294, skv_295, skv_35, skv_296 ) 0.64/0.89 ( skv_298, skv_14, skv_14, skv_297 ) 0.64/0.89 ( skv_299, skv_300, skv_14, skv_301 ) 0.64/0.89 ( skv_303, skv_14, skv_1, skv_302 ) 0.64/0.89 ( skv_304, skv_305, skv_1, skv_306 ) 0.64/0.89 ( skv_313, skv_1, skv_1, skv_312 ) 0.64/0.89 ( skv_313, skv_1, skv_14, skv_312 ) 0.64/0.89 ( skv_313, skv_1, skv_43, skv_312 ) 0.64/0.89 ( skv_313, skv_1, skv_51, skv_312 ) 0.64/0.89 ( skv_313, skv_1, skv_65, skv_312 ) 0.64/0.89 ( skv_314, skv_315, skv_1, skv_316 ) 0.64/0.89 ( skv_319, skv_320, skv_1, skv_321 ) 0.64/0.89 ( skv_319, skv_320, skv_60, skv_321 ) 0.64/0.89 ) 0.64/0.89 (instantiation (let ((_let_0 (vgensym (vapp (vapp Ve Ve1) (vvar Vx))))) (forall ((Vx $$unsorted) (Ve $$unsorted) (VT $$unsorted) (Vy $$unsorted) (Ve1 $$unsorted)) (or (not (visFreeVar Vy Ve)) (= Vx Vy) (= (vsubst Vx Ve (vabs _let_0 VT (vsubst Vy (vvar _let_0) Ve1))) (vsubst Vx Ve (vabs Vy VT Ve1)))) )) 0.64/0.89 ( skv_21, skv_22, skv_276, skv_277, skv_278 ) 0.64/0.89 ) 0.64/0.89 (instantiation (let ((_let_0 (vabs Vy VT Ve1))) (forall ((VExp0 $$unsorted) (Vy $$unsorted) (VT $$unsorted) (Ve1 $$unsorted)) (= _let_0 (vsubst Vy VExp0 _let_0)) )) 0.64/0.89 ( skv_22, skv_21, skv_271, skv_272 ) 0.64/0.89 ) 0.64/0.89 (instantiation (forall ((VExp0 $$unsorted) (VExp1 $$unsorted)) (or (not (= (vsomeExp VExp0) (vsomeExp VExp1))) (= VExp0 VExp1)) ) 0.64/0.89 ( (vapp (vgetSomeExp (vreduce skv_11)) skv_12), (vapp (vgetSomeExp (vreduce skv_11)) skv_12) ) 0.64/0.89 ( (vapp (vgetSomeExp (vreduce skv_11)) skv_12), (vapp (vgetSomeExp (vreduce skv_18)) skv_19) ) 0.64/0.89 ( (vapp (vgetSomeExp (vreduce skv_11)) skv_12), (vsubst skv_21 skv_22 skv_23) ) 0.64/0.89 ( (vapp (vgetSomeExp (vreduce skv_11)) skv_12), (vapp (vabs skv_25 skv_26 skv_27) (vgetSomeExp (vreduce skv_24))) ) 0.64/0.89 ( (vapp (vgetSomeExp (vreduce skv_18)) skv_19), (vapp (vgetSomeExp (vreduce skv_11)) skv_12) ) 0.64/0.89 ( (vapp (vgetSomeExp (vreduce skv_18)) skv_19), (vapp (vgetSomeExp (vreduce skv_18)) skv_19) ) 0.64/0.89 ( (vapp (vgetSomeExp (vreduce skv_18)) skv_19), (vsubst skv_21 skv_22 skv_23) ) 0.64/0.89 ( (vapp (vgetSomeExp (vreduce skv_18)) skv_19), (vapp (vgetSomeExp (vreduce (vabs skv_25 skv_26 skv_27))) skv_24) ) 0.64/0.89 ( (vsubst skv_21 skv_22 skv_23), (vapp (vgetSomeExp (vreduce skv_11)) skv_12) ) 0.64/0.89 ( (vsubst skv_21 skv_22 skv_23), (vapp (vgetSomeExp (vreduce skv_18)) skv_19) ) 0.64/0.89 ( (vsubst skv_21 skv_22 skv_23), (vsubst skv_21 skv_22 skv_23) ) 0.64/0.89 ( (vsubst skv_21 skv_22 skv_23), (vapp (vgetSomeExp (vreduce skv_48)) skv_49) ) 0.64/0.89 ( (vapp (vabs skv_25 skv_26 skv_27) (vgetSomeExp (vreduce skv_24))), (vapp (vgetSomeExp (vreduce skv_11)) skv_12) ) 0.64/0.89 ( (vapp (vabs skv_25 skv_26 skv_27) (vgetSomeExp (vreduce skv_24))), (vapp (vgetSomeExp (vreduce skv_18)) skv_19) ) 0.64/0.89 ( (vapp (vabs skv_25 skv_26 skv_27) (vgetSomeExp (vreduce skv_24))), (vapp (vabs skv_25 skv_26 skv_27) (vgetSomeExp (vreduce skv_24))) ) 0.64/0.89 ( (vapp (vgetSomeExp (vreduce (vabs skv_25 skv_26 skv_27))) skv_24), (vapp (vgetSomeExp (vreduce skv_11)) skv_12) ) 0.64/0.89 ( (vapp (vgetSomeExp (vreduce skv_48)) skv_49), (vsubst skv_21 skv_22 skv_23) ) 0.64/0.89 ( (vapp (vgetSomeExp (vreduce skv_48)) skv_49), (vapp (vgetSomeExp (vreduce (vabs skv_21 skv_20 skv_23))) skv_22) ) 0.64/0.89 ( (vapp (vgetSomeExp (vreduce (vabs skv_21 skv_20 skv_23))) skv_22), (vsubst skv_21 skv_22 skv_23) ) 0.64/0.89 ( (vapp (vabs skv_44 skv_46 skv_45) (vgetSomeExp (vreduce skv_12))), (vapp (vabs skv_44 skv_46 skv_45) (vgetSomeExp (vreduce skv_12))) ) 0.64/0.89 ( (vapp (vabs skv_44 skv_46 skv_45) (vgetSomeExp (vreduce skv_12))), (vapp (vabs skv_21 skv_20 skv_23) (vgetSomeExp (vreduce skv_22))) ) 0.64/0.89 ( (vapp (vabs skv_21 skv_20 skv_23) (vgetSomeExp (vreduce skv_22))), (vapp (vabs skv_44 skv_46 skv_45) (vgetSomeExp (vreduce skv_12))) ) 0.64/0.89 ( (vapp (vabs skv_21 skv_20 skv_23) (vgetSomeExp (vreduce skv_22))), (vsubst skv_44 skv_12 skv_45) ) 0.64/0.89 ( (vsubst skv_44 skv_12 skv_45), (vapp (vabs skv_44 skv_46 skv_45) (vgetSomeExp (vreduce skv_12))) ) 0.64/0.89 ( (vsubst skv_25 skv_24 skv_27), (vsubst skv_25 skv_24 skv_27) ) 0.64/0.89 ( (vsubst skv_25 skv_24 skv_27), (vsubst skv_25 (vgetSomeExp (vreduce skv_24)) skv_27) ) 0.64/0.89 ( (vsubst skv_25 (vgetSomeExp (vreduce skv_24)) skv_27), (vsubst skv_25 skv_24 skv_27) ) 0.64/0.89 ( (vsubst skv_25 (vgetSomeExp (vreduce skv_24)) skv_27), (vapp (vgetSomeExp (vreduce skv_101)) skv_102) ) 0.64/0.89 ( (vapp (vgetSomeExp (vreduce skv_101)) skv_102), (vsubst skv_25 skv_24 skv_27) ) 0.64/0.89 ( (vsubst skv_106 skv_107 skv_108), (vsubst skv_106 skv_107 skv_108) ) 0.64/0.89 ( (vsubst skv_106 skv_107 skv_108), (vapp (vabs skv_110 skv_111 skv_112) (vgetSomeExp (vreduce skv_109))) ) 0.64/0.89 ( (vapp (vabs skv_110 skv_111 skv_112) (vgetSomeExp (vreduce skv_109))), (vsubst skv_106 skv_107 skv_108) ) 0.64/0.89 ( (vapp (vabs skv_110 skv_111 skv_112) (vgetSomeExp (vreduce skv_109))), (vapp (vgetSomeExp (vreduce skv_121)) skv_122) ) 0.64/0.89 ( (vapp (vgetSomeExp (vreduce skv_121)) skv_122), (vsubst skv_106 skv_107 skv_108) ) 0.64/0.89 ( (vsubst skv_126 skv_127 skv_128), (vsubst skv_126 skv_127 skv_128) ) 0.64/0.89 ( (vsubst skv_126 skv_127 skv_128), (vapp (vabs skv_130 skv_131 skv_132) (vgetSomeExp (vreduce skv_129))) ) 0.64/0.89 ( (vapp (vabs skv_130 skv_131 skv_132) (vgetSomeExp (vreduce skv_129))), (vsubst skv_126 skv_127 skv_128) ) 0.64/0.89 ( (vapp (vabs skv_130 skv_131 skv_132) (vgetSomeExp (vreduce skv_129))), (vapp (vgetSomeExp (vreduce skv_138)) skv_139) ) 0.64/0.89 ( (vapp (vgetSomeExp (vreduce skv_138)) skv_139), (vsubst skv_126 skv_127 skv_128) ) 0.64/0.89 ( (vsubst skv_143 skv_144 skv_145), (vsubst skv_143 skv_144 skv_145) ) 0.64/0.89 ( (vsubst skv_143 skv_144 skv_145), (vapp (vabs skv_147 skv_148 skv_149) (vgetSomeExp (vreduce skv_146))) ) 0.64/0.89 ( (vapp (vabs skv_147 skv_148 skv_149) (vgetSomeExp (vreduce skv_146))), (vsubst skv_143 skv_144 skv_145) ) 0.64/0.89 ( (vapp (vabs skv_147 skv_148 skv_149) (vgetSomeExp (vreduce skv_146))), (vapp (vgetSomeExp (vreduce skv_151)) skv_152) ) 0.64/0.89 ( (vapp (vgetSomeExp (vreduce skv_151)) skv_152), (vsubst skv_143 skv_144 skv_145) ) 0.64/0.89 ( (vsubst skv_154 skv_155 skv_156), (vsubst skv_154 skv_155 skv_156) ) 0.64/0.89 ( (vsubst skv_154 skv_155 skv_156), (vapp (vabs skv_158 skv_159 skv_160) (vgetSomeExp (vreduce skv_157))) ) 0.64/0.89 ( (vapp (vabs skv_158 skv_159 skv_160) (vgetSomeExp (vreduce skv_157))), (vsubst skv_154 skv_155 skv_156) ) 0.64/0.89 ( (vapp (vabs skv_158 skv_159 skv_160) (vgetSomeExp (vreduce skv_157))), (vapp (vgetSomeExp (vreduce skv_168)) skv_169) ) 0.64/0.89 ( (vapp (vgetSomeExp (vreduce skv_168)) skv_169), (vsubst skv_154 skv_155 skv_156) ) 0.64/0.89 ( (vsubst skv_173 skv_174 skv_175), (vsubst skv_173 skv_174 skv_175) ) 0.64/0.89 ( (vsubst skv_173 skv_174 skv_175), (vapp (vabs skv_177 skv_178 skv_179) (vgetSomeExp (vreduce skv_176))) ) 0.64/0.89 ( (vapp (vabs skv_177 skv_178 skv_179) (vgetSomeExp (vreduce skv_176))), (vsubst skv_173 skv_174 skv_175) ) 0.64/0.89 ( (vapp (vabs skv_177 skv_178 skv_179) (vgetSomeExp (vreduce skv_176))), (vapp (vgetSomeExp (vreduce skv_181)) skv_182) ) 0.64/0.89 ( (vapp (vgetSomeExp (vreduce skv_181)) skv_182), (vsubst skv_173 skv_174 skv_175) ) 0.64/0.89 ( (vsubst skv_184 skv_185 skv_186), (vsubst skv_184 skv_185 skv_186) ) 0.64/0.89 ( (vsubst skv_184 skv_185 skv_186), (vapp (vabs skv_188 skv_189 skv_190) (vgetSomeExp (vreduce skv_187))) ) 0.64/0.89 ( (vapp (vabs skv_188 skv_189 skv_190) (vgetSomeExp (vreduce skv_187))), (vsubst skv_184 skv_185 skv_186) ) 0.64/0.89 ) 0.64/0.89 (instantiation (forall ((VTyp0 $$unsorted) (VTyp1 $$unsorted) (VTyp2 $$unsorted) (VTyp3 $$unsorted)) (or (not (= (varrow VTyp0 VTyp1) (varrow VTyp2 VTyp3))) (and (= VTyp0 VTyp2) (= VTyp1 VTyp3))) ) 0.64/0.89 ( skv_5, skv_10, skv_64, (varrow skv_50 (varrow skv_13 skv_6)) ) 0.64/0.89 ( skv_9, skv_10, skv_9, skv_10 ) 0.64/0.89 ( skv_9, skv_10, skv_13, skv_6 ) 0.64/0.89 ( skv_9, skv_10, skv_30, skv_31 ) 0.64/0.89 ( skv_9, skv_10, skv_34, skv_10 ) 0.64/0.89 ( skv_9, skv_10, skv_38, skv_39 ) 0.64/0.89 ( skv_9, skv_34, skv_9, skv_34 ) 0.64/0.89 ( skv_9, skv_34, skv_64, (varrow skv_42 skv_13) ) 0.64/0.89 ( skv_9, (varrow skv_34 skv_10), skv_64, skv_13 ) 0.64/0.89 ( skv_13, skv_6, skv_9, skv_10 ) 0.64/0.89 ( skv_13, skv_6, skv_13, skv_6 ) 0.64/0.89 ( skv_13, skv_6, skv_30, skv_31 ) 0.64/0.89 ( skv_13, skv_6, skv_34, skv_10 ) 0.64/0.89 ( skv_13, skv_6, skv_42, skv_13 ) 0.64/0.89 ( skv_30, skv_31, skv_9, skv_10 ) 0.64/0.89 ( skv_30, skv_31, skv_13, skv_6 ) 0.64/0.89 ( skv_30, skv_31, skv_30, skv_31 ) 0.64/0.89 ( skv_30, skv_31, skv_34, skv_10 ) 0.64/0.89 ( skv_34, skv_10, skv_9, skv_10 ) 0.64/0.89 ( skv_34, skv_10, skv_13, skv_6 ) 0.64/0.89 ( skv_34, skv_10, skv_30, skv_31 ) 0.64/0.89 ( skv_34, skv_10, skv_46, skv_47 ) 0.64/0.89 ( skv_38, skv_39, skv_9, skv_10 ) 0.64/0.89 ( skv_38, skv_39, skv_13, skv_6 ) 0.64/0.89 ( skv_38, skv_39, skv_38, skv_39 ) 0.64/0.89 ( skv_38, skv_39, skv_42, skv_13 ) 0.64/0.89 ( skv_42, skv_13, skv_9, skv_10 ) 0.64/0.89 ( skv_42, skv_13, skv_38, skv_39 ) 0.64/0.89 ( skv_42, skv_13, skv_46, skv_47 ) 0.64/0.89 ( skv_46, skv_47, skv_30, skv_31 ) 0.64/0.89 ( skv_46, skv_47, skv_38, skv_39 ) 0.64/0.89 ( skv_50, (varrow skv_13 skv_6), skv_50, (varrow skv_13 skv_6) ) 0.64/0.89 ( skv_50, (varrow skv_13 skv_6), skv_64, skv_6 ) 0.64/0.89 ( skv_64, skv_6, skv_50, (varrow skv_13 skv_6) ) 0.64/0.89 ( skv_64, skv_6, skv_64, (varrow skv_13 skv_6) ) 0.64/0.89 ( skv_64, (varrow skv_9 skv_10), skv_9, (varrow skv_34 skv_10) ) 0.64/0.89 ( skv_64, (varrow skv_9 skv_10), skv_64, skv_13 ) 0.64/0.89 ( skv_64, skv_13, skv_64, (varrow skv_9 skv_10) ) 0.64/0.89 ( skv_64, skv_13, skv_64, skv_13 ) 0.64/0.89 ( skv_64, (varrow skv_13 skv_6), skv_50, (varrow skv_13 skv_6) ) 0.64/0.89 ( skv_64, skv_42, skv_9, skv_34 ) 0.64/0.89 ( skv_64, (varrow skv_42 skv_13), skv_9, skv_34 ) 0.64/0.89 ( skv_64, (varrow skv_42 skv_13), skv_64, skv_42 ) 0.64/0.89 ( skv_64, skv_50, skv_5, skv_10 ) 0.64/0.89 ( skv_64, skv_50, skv_64, (varrow skv_50 (varrow skv_13 skv_6)) ) 0.64/0.89 ( skv_64, (varrow skv_50 (varrow skv_13 skv_6)), skv_64, skv_50 ) 0.64/0.89 ( skv_64, (varrow skv_50 (varrow skv_13 skv_6)), skv_64, (varrow skv_50 (varrow skv_13 skv_6)) ) 0.64/0.89 ( skv_193, skv_194, skv_193, skv_194 ) 0.64/0.89 ( skv_193, skv_194, skv_197, skv_47 ) 0.64/0.89 ( skv_197, skv_47, skv_193, skv_194 ) 0.64/0.89 ( skv_197, skv_47, skv_201, skv_202 ) 0.64/0.89 ( skv_201, skv_202, skv_193, skv_194 ) 0.64/0.89 ( skv_205, skv_39, skv_205, skv_39 ) 0.64/0.89 ( skv_205, skv_39, skv_209, skv_210 ) 0.64/0.89 ( skv_209, skv_210, skv_205, skv_39 ) 0.64/0.89 ( skv_209, skv_210, skv_213, skv_31 ) 0.64/0.89 ( skv_213, skv_31, skv_205, skv_39 ) 0.64/0.89 ( skv_217, skv_218, skv_217, skv_218 ) 0.64/0.89 ( skv_217, skv_218, skv_221, skv_34 ) 0.64/0.89 ( skv_221, skv_34, skv_217, skv_218 ) 0.64/0.89 ( skv_221, skv_34, skv_225, skv_226 ) 0.64/0.89 ( skv_225, skv_226, skv_217, skv_218 ) 0.64/0.89 ( skv_229, (varrow skv_34 skv_10), skv_229, (varrow skv_34 skv_10) ) 0.64/0.89 ( skv_229, (varrow skv_34 skv_10), skv_233, skv_234 ) 0.64/0.89 ( skv_233, skv_234, skv_229, (varrow skv_34 skv_10) ) 0.64/0.89 ( skv_233, skv_234, skv_237, skv_50 ) 0.64/0.89 ( skv_237, skv_50, skv_229, (varrow skv_34 skv_10) ) 0.64/0.89 ( skv_241, skv_242, skv_241, skv_242 ) 0.64/0.89 ( skv_241, skv_242, skv_245, (varrow skv_50 (varrow skv_13 skv_6)) ) 0.64/0.89 ( skv_245, (varrow skv_50 (varrow skv_13 skv_6)), skv_241, skv_242 ) 0.64/0.89 ( skv_245, (varrow skv_50 (varrow skv_13 skv_6)), skv_249, skv_250 ) 0.64/0.89 ( skv_249, skv_250, skv_241, skv_242 ) 0.64/0.89 ( skv_253, skv_42, skv_253, skv_42 ) 0.64/0.89 ( skv_253, skv_42, skv_257, skv_258 ) 0.64/0.89 ( skv_257, skv_258, skv_253, skv_42 ) 0.64/0.89 ( skv_257, skv_258, skv_261, (varrow skv_42 skv_13) ) 0.64/0.89 ( skv_261, (varrow skv_42 skv_13), skv_253, skv_42 ) 0.64/0.89 ( skv_265, skv_266, skv_265, skv_266 ) 0.64/0.89 ( skv_265, skv_266, skv_269, skv_6 ) 0.64/0.89 ( skv_269, skv_6, skv_265, skv_266 ) 0.64/0.89 ) 0.64/0.89 (instantiation (forall ((VC $$unsorted) (Vx $$unsorted) (VT $$unsorted)) (or (not (= (vlookup Vx VC) (vsomeType VT))) (vtcheck VC (vvar Vx) VT)) ) 0.64/0.89 ( skv_3, skv_14, skv_6 ) 0.64/0.89 ( skv_3, skv_35, skv_10 ) 0.64/0.89 ( skv_3, skv_198, skv_47 ) 0.64/0.89 ( skv_3, skv_206, skv_39 ) 0.64/0.89 ( skv_3, skv_222, skv_34 ) 0.64/0.89 ( skv_3, skv_230, (varrow skv_34 skv_10) ) 0.64/0.89 ( (vbind skv_1 skv_2 skv_3), skv_1, skv_6 ) 0.64/0.89 ( (vbind skv_1 skv_2 skv_3), skv_14, skv_6 ) 0.64/0.89 ( (vbind skv_7 skv_9 skv_3), skv_214, skv_31 ) 0.64/0.89 ( (vbind skv_7 skv_9 (vbind skv_1 skv_2 skv_3)), skv_35, skv_10 ) 0.64/0.89 ( (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3)), skv_214, skv_31 ) 0.64/0.89 ( (vbind skv_36 skv_38 skv_3), skv_206, skv_39 ) 0.64/0.89 ( skv_66, skv_180, skv_6 ) 0.64/0.89 ( skv_66, skv_238, skv_50 ) 0.64/0.89 ( skv_66, skv_246, (varrow skv_50 (varrow skv_13 skv_6)) ) 0.64/0.89 ( skv_66, skv_254, skv_42 ) 0.64/0.89 ( skv_66, skv_262, (varrow skv_42 skv_13) ) 0.64/0.89 ( (vbind skv_65 skv_64 skv_66), skv_180, skv_6 ) 0.64/0.89 ( (vbind skv_65 skv_64 skv_66), skv_238, skv_50 ) 0.64/0.89 ( (vbind skv_65 skv_64 skv_66), skv_246, (varrow skv_50 (varrow skv_13 skv_6)) ) 0.64/0.89 ( (vbind skv_65 skv_64 skv_66), skv_254, skv_42 ) 0.64/0.89 ( (vbind skv_65 skv_64 skv_66), skv_262, (varrow skv_42 skv_13) ) 0.64/0.89 ( (vbind skv_7 skv_9 (vbind skv_65 skv_64 skv_66)), skv_222, skv_34 ) 0.64/0.89 ( (vbind skv_7 skv_9 (vbind skv_65 skv_64 skv_66)), skv_230, (varrow skv_34 skv_10) ) 0.64/0.89 ( (vbind skv_65 skv_64 (vbind skv_7 skv_9 skv_66)), skv_222, skv_34 ) 0.64/0.89 ( (vbind skv_65 skv_64 (vbind skv_7 skv_9 skv_66)), skv_230, (varrow skv_34 skv_10) ) 0.64/0.89 ( (vbind skv_28 skv_30 skv_3), skv_214, skv_31 ) 0.64/0.89 ( (vbind skv_7 skv_9 (vbind skv_28 skv_30 skv_3)), skv_214, skv_31 ) 0.64/0.89 ( (vbind skv_36 skv_38 (vbind skv_65 skv_64 skv_66)), skv_206, skv_39 ) 0.64/0.89 ( (vbind skv_65 skv_64 (vbind skv_36 skv_38 skv_66)), skv_206, skv_39 ) 0.64/0.89 ( (vbind skv_44 skv_46 (vbind skv_65 skv_64 skv_66)), skv_198, skv_47 ) 0.64/0.89 ( (vbind skv_65 skv_64 (vbind skv_44 skv_46 skv_66)), skv_198, skv_47 ) 0.64/0.89 ( (vbind skv_65 skv_64 (vbind skv_1 skv_2 skv_66)), skv_14, skv_6 ) 0.64/0.89 ( (vbind skv_1 skv_2 (vbind skv_65 skv_64 skv_66)), skv_14, skv_6 ) 0.64/0.89 ( (vbind skv_28 skv_30 (vbind skv_7 skv_9 (vbind skv_1 skv_2 skv_3))), skv_214, skv_31 ) 0.64/0.89 ) 0.64/0.89 (instantiation (forall ((Vx $$unsorted)) (not (visValue (vvar Vx))) ) 0.64/0.89 ( skv_14 ) 0.64/0.89 ( skv_21 ) 0.64/0.89 ( skv_35 ) 0.64/0.89 ( skv_43 ) 0.64/0.89 ( skv_51 ) 0.64/0.89 ( skv_113 ) 0.64/0.89 ( skv_133 ) 0.64/0.89 ( skv_150 ) 0.64/0.89 ( skv_180 ) 0.64/0.89 ( skv_198 ) 0.64/0.89 ( skv_206 ) 0.64/0.89 ( skv_214 ) 0.64/0.89 ( skv_222 ) 0.64/0.89 ( skv_230 ) 0.64/0.89 ( skv_238 ) 0.64/0.89 ( skv_246 ) 0.64/0.89 ( skv_254 ) 0.64/0.89 ( skv_262 ) 0.64/0.89 ( skv_270 ) 0.64/0.89 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))) ) 0.64/0.89 ( skv_281 ) 0.64/0.89 ) 0.64/0.89 (instantiation (forall ((VTyp0 $$unsorted) (VTyp1 $$unsorted)) (or (not (= (vsomeType VTyp0) (vsomeType VTyp1))) (= VTyp0 VTyp1)) ) 0.64/0.89 ( skv_2, skv_2 ) 0.64/0.89 ( skv_2, skv_6 ) 0.64/0.89 ( skv_2, skv_9 ) 0.64/0.89 ( skv_2, skv_10 ) 0.64/0.89 ( skv_2, skv_13 ) 0.64/0.89 ( skv_6, skv_2 ) 0.64/0.89 ( skv_6, skv_6 ) 0.64/0.89 ( skv_6, skv_9 ) 0.64/0.89 ( skv_6, skv_10 ) 0.64/0.89 ( skv_6, (varrow skv_13 skv_6) ) 0.64/0.89 ( skv_9, skv_2 ) 0.64/0.89 ( skv_9, skv_6 ) 0.64/0.89 ( skv_9, skv_9 ) 0.64/0.89 ( skv_9, skv_10 ) 0.64/0.89 ( skv_10, skv_2 ) 0.64/0.89 ( skv_10, skv_6 ) 0.64/0.89 ( skv_10, skv_9 ) 0.64/0.89 ( skv_10, skv_53 ) 0.64/0.89 ( skv_13, skv_2 ) 0.64/0.89 ( skv_13, skv_6 ) 0.64/0.89 ( skv_13, skv_13 ) 0.64/0.89 ( skv_13, (varrow skv_13 skv_6) ) 0.64/0.89 ( (varrow skv_13 skv_6), skv_2 ) 0.64/0.89 ( (varrow skv_13 skv_6), skv_13 ) 0.64/0.89 ( (varrow skv_13 skv_6), skv_53 ) 0.64/0.89 ( skv_30, skv_58 ) 0.64/0.89 ( skv_30, skv_63 ) 0.64/0.89 ( skv_31, skv_31 ) 0.64/0.89 ( skv_31, skv_34 ) 0.64/0.89 ( skv_34, skv_31 ) 0.64/0.89 ( skv_34, (varrow skv_34 skv_10) ) 0.64/0.89 ( (varrow skv_34 skv_10), skv_31 ) 0.64/0.89 ( skv_38, skv_58 ) 0.64/0.89 ( skv_39, skv_64 ) 0.64/0.89 ( skv_42, skv_50 ) 0.64/0.89 ( (varrow skv_42 skv_13), (varrow skv_42 skv_13) ) 0.64/0.89 ( (varrow skv_42 skv_13), skv_283 ) 0.64/0.89 ( skv_46, skv_46 ) 0.64/0.89 ( skv_46, skv_54 ) 0.64/0.89 ( skv_47, skv_39 ) 0.64/0.89 ( skv_47, skv_64 ) 0.64/0.89 ( skv_50, skv_50 ) 0.64/0.89 ( skv_50, (varrow skv_50 (varrow skv_13 skv_6)) ) 0.64/0.89 ( (varrow skv_50 (varrow skv_13 skv_6)), skv_42 ) 0.64/0.89 ( (varrow skv_50 (varrow skv_13 skv_6)), skv_50 ) 0.64/0.89 ( skv_53, skv_9 ) 0.64/0.89 ( skv_53, skv_13 ) 0.64/0.89 ( skv_54, skv_46 ) 0.64/0.89 ( skv_54, skv_59 ) 0.64/0.89 ( skv_58, skv_30 ) 0.64/0.89 ( skv_58, skv_58 ) 0.64/0.89 ( skv_58, skv_63 ) 0.64/0.89 ( skv_59, skv_46 ) 0.64/0.89 ( skv_63, skv_38 ) 0.64/0.89 ( skv_63, skv_58 ) 0.64/0.89 ( skv_63, skv_63 ) 0.64/0.89 ( skv_64, skv_47 ) 0.64/0.89 ( skv_64, skv_64 ) 0.64/0.89 ( skv_283, (varrow skv_42 skv_13) ) 0.64/0.89 ( skv_283, skv_288 ) 0.64/0.89 ( skv_288, (varrow skv_42 skv_13) ) 0.64/0.89 ( skv_293, skv_293 ) 0.64/0.89 ( skv_293, skv_298 ) 0.64/0.89 ( skv_298, skv_293 ) 0.64/0.89 ( skv_298, skv_303 ) 0.64/0.89 ( skv_303, skv_293 ) 0.64/0.89 ( skv_308, skv_308 ) 0.64/0.89 ( skv_308, skv_313 ) 0.64/0.89 ( skv_313, skv_308 ) 0.64/0.89 ( skv_313, skv_318 ) 0.64/0.89 ( skv_318, skv_308 ) 0.64/0.89 ) 0.64/0.89 (instantiation (forall ((Vy $$unsorted) (VTy $$unsorted) (Vx $$unsorted) (VTx $$unsorted) (VC $$unsorted) (Ve $$unsorted) (VT $$unsorted)) (or (not (vtcheck (vbind Vx VTx (vbind Vy VTy VC)) Ve VT)) (= Vy Vx) (vtcheck (vbind Vy VTy (vbind Vx VTx VC)) Ve VT)) ) 0.64/0.89 ( skv_1, skv_2, skv_4, skv_5, skv_3, (vvar skv_35), skv_10 ) 0.64/0.89 ( skv_1, skv_2, skv_7, skv_9, skv_3, skv_8, skv_10 ) 0.64/0.89 ( skv_1, skv_2, skv_14, skv_53, skv_52, veabs, skv_10 ) 0.64/0.89 ( skv_1, skv_2, skv_14, skv_53, skv_52, (vabs skv_4 skv_5 veabs), skv_6 ) 0.64/0.89 ( skv_1, skv_2, skv_14, skv_53, skv_52, (vabs skv_4 skv_5 veabs), (varrow skv_5 skv_10) ) 0.64/0.89 ( skv_1, skv_2, skv_14, skv_53, skv_52, skv_8, skv_10 ) 0.64/0.89 ( skv_1, skv_2, skv_14, skv_53, skv_52, (vabs skv_7 skv_9 skv_8), (varrow skv_9 skv_10) ) 0.64/0.89 ( skv_1, skv_2, skv_14, skv_53, skv_52, (vvar skv_14), skv_6 ) 0.64/0.89 ( skv_1, skv_2, skv_65, skv_64, skv_66, (vabs skv_4 skv_5 veabs), skv_6 ) 0.64/0.89 ( skv_1, skv_2, skv_65, skv_64, skv_66, (vabs skv_7 skv_9 skv_8), (varrow skv_9 skv_10) ) 0.64/0.89 ( skv_1, skv_2, skv_65, skv_64, skv_66, (vvar skv_14), skv_6 ) 0.64/0.89 ( skv_4, skv_5, skv_1, skv_2, skv_3, veabs, skv_10 ) 0.64/0.89 ( skv_4, skv_5, skv_1, skv_2, skv_3, skv_8, skv_10 ) 0.64/0.89 ( skv_4, skv_5, skv_1, skv_2, skv_3, (vabs skv_28 skv_30 skv_29), (varrow skv_30 skv_31) ) 0.64/0.89 ( skv_4, skv_5, skv_1, skv_58, skv_57, veabs, skv_10 ) 0.64/0.89 ( skv_7, skv_9, skv_1, skv_2, skv_3, skv_8, skv_10 ) 0.64/0.89 ( skv_7, skv_9, skv_14, skv_53, skv_52, skv_29, skv_31 ) 0.64/0.89 ( skv_7, skv_9, skv_28, skv_30, skv_3, skv_29, skv_31 ) 0.64/0.89 ( skv_7, skv_9, skv_28, skv_30, skv_3, skv_211, (varrow skv_213 skv_31) ) 0.64/0.89 ( skv_7, skv_9, skv_28, skv_30, skv_3, skv_212, skv_213 ) 0.64/0.89 ( skv_7, skv_9, skv_65, skv_64, skv_66, skv_8, skv_10 ) 0.64/0.89 ( skv_7, skv_9, skv_65, skv_64, skv_66, skv_32, (varrow skv_34 skv_10) ) 0.64/0.89 ( skv_7, skv_9, skv_65, skv_64, skv_66, skv_33, skv_34 ) 0.64/0.89 ( skv_7, skv_9, skv_215, skv_217, skv_3, skv_216, skv_218 ) 0.64/0.89 ( skv_7, skv_9, skv_223, skv_225, skv_3, skv_224, skv_226 ) 0.64/0.89 ( skv_14, skv_53, skv_7, skv_9, skv_52, skv_8, skv_10 ) 0.64/0.89 ( skv_14, skv_53, skv_7, skv_9, skv_52, (vabs skv_28 skv_30 skv_29), (varrow skv_30 skv_31) ) 0.64/0.89 ( skv_14, skv_53, skv_7, skv_9, skv_52, skv_32, (varrow skv_34 skv_10) ) 0.64/0.89 ( skv_14, skv_53, skv_7, skv_9, skv_52, skv_33, skv_34 ) 0.64/0.89 ( skv_14, skv_53, skv_7, skv_9, skv_52, (vapp skv_32 skv_33), skv_10 ) 0.64/0.89 ( skv_14, skv_53, skv_7, skv_9, skv_52, skv_219, (varrow skv_221 skv_34) ) 0.64/0.89 ( skv_14, skv_53, skv_7, skv_9, skv_52, skv_220, skv_221 ) 0.64/0.89 ( skv_14, skv_53, skv_7, skv_9, skv_52, skv_227, (varrow skv_229 (varrow skv_34 skv_10)) ) 0.64/0.89 ( skv_14, skv_53, skv_7, skv_9, skv_52, skv_228, skv_229 ) 0.64/0.89 ( skv_14, skv_53, skv_36, skv_38, skv_52, skv_203, (varrow skv_205 skv_39) ) 0.64/0.89 ( skv_14, skv_53, skv_36, skv_38, skv_52, skv_204, skv_205 ) 0.64/0.89 ( skv_14, skv_53, skv_44, skv_46, skv_52, skv_45, skv_47 ) 0.64/0.89 ( skv_14, skv_53, skv_44, skv_46, skv_52, skv_195, (varrow skv_197 skv_47) ) 0.64/0.89 ( skv_14, skv_53, skv_44, skv_46, skv_52, skv_196, skv_197 ) 0.64/0.89 ( skv_14, skv_53, skv_231, skv_233, skv_52, skv_232, skv_234 ) 0.64/0.89 ( skv_14, skv_53, skv_239, skv_241, skv_52, skv_240, skv_242 ) 0.64/0.89 ( skv_14, skv_53, skv_247, skv_249, skv_52, skv_248, skv_250 ) 0.64/0.89 ( skv_14, skv_53, skv_255, skv_257, skv_52, skv_256, skv_258 ) 0.64/0.89 ( skv_14, skv_53, skv_263, skv_265, skv_52, skv_264, skv_266 ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, (vabs skv_4 skv_5 veabs), skv_6 ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, (vabs skv_7 skv_9 skv_8), (varrow skv_9 skv_10) ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, skv_12, skv_13 ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, (vapp skv_11 skv_12), skv_6 ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, (vabs skv_36 skv_38 skv_37), (varrow skv_38 skv_39) ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, skv_40, (varrow skv_42 skv_13) ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, skv_41, skv_42 ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, (vapp skv_40 skv_41), skv_13 ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, (vabs skv_44 skv_46 skv_45), (varrow skv_46 skv_47) ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, skv_48, (varrow skv_50 (varrow skv_13 skv_6)) ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, skv_49, skv_50 ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, (vapp skv_48 skv_49), (varrow skv_13 skv_6) ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, (vabs skv_7 skv_9 skv_32), (varrow skv_9 (varrow skv_34 skv_10)) ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, (vabs skv_7 skv_9 skv_33), (varrow skv_9 skv_34) ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, skv_235, (varrow skv_237 skv_50) ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, skv_236, skv_237 ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, skv_243, (varrow skv_245 (varrow skv_50 (varrow skv_13 skv_6))) ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, skv_244, skv_245 ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, skv_251, (varrow skv_253 skv_42) ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, skv_252, skv_253 ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, skv_259, (varrow skv_261 (varrow skv_42 skv_13)) ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, skv_260, skv_261 ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, skv_267, (varrow skv_269 skv_6) ) 0.64/0.89 ( skv_14, skv_298, skv_55, skv_54, skv_297, skv_268, skv_269 ) 0.64/0.89 ( skv_28, skv_30, skv_4, skv_5, (vbind skv_1 skv_2 skv_3), skv_29, skv_31 ) 0.64/0.89 ( skv_28, skv_30, skv_7, skv_9, skv_3, skv_29, skv_31 ) 0.64/0.89 ( skv_28, skv_30, skv_14, skv_53, skv_52, skv_29, skv_31 ) 0.64/0.89 ( skv_28, skv_30, skv_207, skv_209, (vbind skv_7 skv_9 skv_3), skv_208, skv_210 ) 0.64/0.89 ( skv_36, skv_38, skv_14, skv_53, skv_52, skv_37, skv_39 ) 0.64/0.89 ( skv_36, skv_38, skv_65, skv_64, skv_66, skv_37, skv_39 ) 0.64/0.89 ( skv_36, skv_38, skv_199, skv_201, skv_3, skv_200, skv_202 ) 0.64/0.89 ( skv_44, skv_46, skv_65, skv_64, skv_66, skv_45, skv_47 ) 0.64/0.89 ( skv_44, skv_46, skv_191, skv_193, skv_3, skv_192, skv_194 ) 0.64/0.89 ( skv_55, skv_54, skv_14, skv_298, skv_297, skv_8, skv_10 ) 0.64/0.89 ( skv_55, skv_54, skv_14, skv_298, skv_297, skv_11, (varrow skv_13 skv_6) ) 0.64/0.89 ( skv_55, skv_54, skv_14, skv_298, skv_297, (vvar skv_14), skv_6 ) 0.64/0.90 ( skv_55, skv_54, skv_14, skv_298, skv_297, (vabs skv_28 skv_30 skv_29), (varrow skv_30 skv_31) ) 0.64/0.90 ( skv_55, skv_54, skv_14, skv_298, skv_297, skv_32, (varrow skv_34 skv_10) ) 0.64/0.90 ( skv_55, skv_54, skv_14, skv_298, skv_297, skv_33, skv_34 ) 0.64/0.90 ( skv_55, skv_54, skv_14, skv_298, skv_297, (vvar skv_35), skv_10 ) 0.64/0.90 ( skv_55, skv_54, skv_14, skv_298, skv_297, skv_37, skv_39 ) 0.64/0.90 ( skv_55, skv_54, skv_14, skv_298, skv_297, skv_45, skv_47 ) 0.64/0.90 ( skv_60, skv_59, skv_320, skv_319, skv_321, (vabs skv_4 skv_5 veabs), skv_6 ) 0.64/0.90 ( skv_65, skv_64, skv_1, skv_2, skv_66, (vabs skv_4 skv_5 veabs), skv_6 ) 0.64/0.90 ( skv_65, skv_64, skv_1, skv_2, skv_66, (vabs skv_7 skv_9 skv_8), (varrow skv_9 skv_10) ) 0.64/0.90 ( skv_65, skv_64, skv_1, skv_2, skv_66, (vvar skv_14), skv_6 ) 0.64/0.90 ( skv_65, skv_64, skv_1, skv_313, skv_312, veabs, skv_10 ) 0.64/0.90 ( skv_65, skv_64, skv_1, skv_313, skv_312, (vabs skv_4 skv_5 veabs), skv_6 ) 0.64/0.90 ( skv_65, skv_64, skv_1, skv_313, skv_312, skv_8, skv_10 ) 0.64/0.90 ( skv_65, skv_64, skv_1, skv_313, skv_312, (vabs skv_7 skv_9 skv_8), (varrow skv_9 skv_10) ) 0.64/0.90 ( skv_65, skv_64, skv_1, skv_313, skv_312, skv_11, (varrow skv_13 skv_6) ) 0.64/0.90 ( skv_65, skv_64, skv_1, skv_313, skv_312, skv_12, skv_13 ) 0.64/0.90 ( skv_65, skv_64, skv_1, skv_313, skv_312, (vapp skv_11 skv_12), skv_6 ) 0.64/0.90 ( skv_65, skv_64, skv_1, skv_313, skv_312, skv_40, (varrow skv_42 skv_13) ) 0.64/0.90 ( skv_65, skv_64, skv_1, skv_313, skv_312, skv_41, skv_42 ) 0.64/0.90 ( skv_65, skv_64, skv_1, skv_313, skv_312, skv_48, (varrow skv_50 (varrow skv_13 skv_6)) ) 0.64/0.90 ( skv_65, skv_64, skv_1, skv_313, skv_312, skv_49, skv_50 ) 0.64/0.90 ( skv_65, skv_64, skv_7, skv_9, skv_66, skv_8, skv_10 ) 0.64/0.90 ( skv_65, skv_64, skv_7, skv_9, skv_66, skv_32, (varrow skv_34 skv_10) ) 0.64/0.90 ( skv_65, skv_64, skv_7, skv_9, skv_66, skv_33, skv_34 ) 0.64/0.90 ( skv_65, skv_64, skv_36, skv_38, skv_66, skv_37, skv_39 ) 0.64/0.90 ( skv_65, skv_64, skv_44, skv_46, skv_66, skv_45, skv_47 ) 0.64/0.90 ) 0.64/0.90 (instantiation (forall ((Ve1 $$unsorted) (Vx $$unsorted) (Ve $$unsorted) (Ve2 $$unsorted)) (= (vapp (vsubst Vx Ve Ve1) (vsubst Vx Ve Ve2)) (vsubst Vx Ve (vapp Ve1 Ve2))) ) 0.64/0.90 ( skv_195, skv_44, skv_12, skv_196 ) 0.64/0.90 ( skv_279, skv_21, skv_22, skv_280 ) 0.64/0.90 ( (vsubst skv_21 skv_22 skv_279), skv_21, skv_22, (vsubst skv_21 skv_22 skv_280) ) 0.64/0.90 ) 0.64/0.90 (instantiation (forall ((VExp0 $$unsorted) (VExp1 $$unsorted) (VExp2 $$unsorted) (VExp3 $$unsorted)) (or (not (= (vapp VExp0 VExp1) (vapp VExp2 VExp3))) (and (= VExp0 VExp2) (= VExp1 VExp3))) ) 0.64/0.90 ( skv_11, skv_12, skv_11, skv_12 ) 0.64/0.90 ( skv_11, skv_12, (vgetSomeExp (vreduce skv_11)), skv_12 ) 0.64/0.90 ( skv_11, skv_12, skv_18, skv_19 ) 0.64/0.90 ( skv_11, skv_12, (vgetSomeExp (vreduce skv_18)), skv_19 ) 0.64/0.90 ( (vgetSomeExp (vreduce skv_11)), skv_12, skv_11, skv_12 ) 0.64/0.90 ( (vgetSomeExp (vreduce skv_11)), skv_12, (vgetSomeExp (vreduce skv_11)), skv_12 ) 0.64/0.90 ( (vgetSomeExp (vreduce skv_11)), skv_12, (vgetSomeExp (vreduce skv_18)), skv_19 ) 0.64/0.90 ( (vgetSomeExp (vreduce skv_11)), skv_12, (vabs skv_21 skv_20 skv_23), skv_22 ) 0.64/0.90 ( skv_18, skv_19, skv_11, skv_12 ) 0.64/0.90 ( skv_18, skv_19, (vgetSomeExp (vreduce skv_11)), skv_12 ) 0.64/0.90 ( skv_18, skv_19, skv_18, skv_19 ) 0.64/0.90 ( skv_18, skv_19, (vabs skv_21 skv_20 skv_23), skv_22 ) 0.64/0.90 ( (vgetSomeExp (vreduce skv_18)), skv_19, skv_11, skv_12 ) 0.64/0.90 ( (vgetSomeExp (vreduce skv_18)), skv_19, (vgetSomeExp (vreduce skv_11)), skv_12 ) 0.64/0.90 ( (vgetSomeExp (vreduce skv_18)), skv_19, (vgetSomeExp (vreduce skv_18)), skv_19 ) 0.64/0.90 ( (vgetSomeExp (vreduce skv_18)), skv_19, (vabs skv_25 skv_26 skv_27), skv_24 ) 0.64/0.90 ( skv_22, skv_278, skv_259, skv_260 ) 0.64/0.90 ( (vabs skv_21 skv_20 skv_23), skv_22, skv_11, skv_12 ) 0.64/0.90 ( (vabs skv_21 skv_20 skv_23), skv_22, skv_18, skv_19 ) 0.64/0.90 ( (vabs skv_21 skv_20 skv_23), skv_22, (vabs skv_25 skv_26 skv_27), skv_24 ) 0.64/0.90 ( (vabs skv_21 skv_20 skv_23), (vgetSomeExp (vreduce skv_22)), (vabs skv_44 skv_46 skv_45), skv_12 ) 0.64/0.90 ( (vabs skv_21 skv_20 skv_23), (vgetSomeExp (vreduce skv_22)), (vabs skv_98 skv_99 skv_100), skv_97 ) 0.64/0.90 ( (vabs skv_25 skv_26 skv_27), skv_24, skv_18, skv_19 ) 0.64/0.90 ( (vabs skv_25 skv_26 skv_27), skv_24, (vgetSomeExp (vreduce skv_18)), skv_19 ) 0.64/0.90 ( (vabs skv_25 skv_26 skv_27), skv_24, (vabs skv_25 skv_26 skv_27), (vgetSomeExp (vreduce skv_24)) ) 0.64/0.90 ( (vabs skv_25 skv_26 skv_27), (vgetSomeExp (vreduce skv_24)), (vgetSomeExp (vreduce skv_18)), skv_19 ) 0.64/0.90 ( (vabs skv_25 skv_26 skv_27), (vgetSomeExp (vreduce skv_24)), (vabs skv_25 skv_26 skv_27), (vgetSomeExp (vreduce skv_24)) ) 0.64/0.90 ( (vabs skv_25 skv_26 skv_27), (vgetSomeExp (vreduce skv_24)), skv_32, skv_33 ) 0.64/0.90 ( skv_32, skv_33, (vabs skv_25 skv_26 skv_27), (vgetSomeExp (vreduce skv_24)) ) 0.64/0.90 ( skv_32, skv_33, skv_32, skv_33 ) 0.64/0.90 ( skv_32, skv_33, skv_40, skv_41 ) 0.64/0.90 ( skv_32, skv_33, skv_48, skv_49 ) 0.64/0.90 ( skv_40, skv_41, (vabs skv_25 skv_26 skv_27), (vgetSomeExp (vreduce skv_24)) ) 0.64/0.90 ( skv_40, skv_41, skv_32, skv_33 ) 0.64/0.90 ( skv_40, skv_41, skv_40, skv_41 ) 0.64/0.90 ( skv_40, skv_41, (vgetSomeExp (vreduce (vabs skv_25 skv_26 skv_27))), skv_24 ) 0.64/0.90 ( (vabs skv_44 skv_46 skv_45), skv_12, (vabs skv_21 skv_20 skv_23), (vgetSomeExp (vreduce skv_22)) ) 0.64/0.90 ( (vabs skv_44 skv_46 skv_45), skv_12, (vabs skv_44 skv_46 skv_45), skv_12 ) 0.64/0.90 ( (vabs skv_44 skv_46 skv_45), (vgetSomeExp (vreduce skv_12)), (vgetSomeExp (vreduce skv_48)), skv_49 ) 0.64/0.90 ( skv_48, skv_49, skv_32, skv_33 ) 0.64/0.90 ( skv_48, skv_49, skv_40, skv_41 ) 0.64/0.90 ( skv_48, skv_49, skv_48, skv_49 ) 0.64/0.90 ( (vgetSomeExp (vreduce (vabs skv_25 skv_26 skv_27))), skv_24, skv_32, skv_33 ) 0.64/0.90 ( (vgetSomeExp (vreduce skv_48)), skv_49, (vgetSomeExp (vreduce skv_48)), skv_49 ) 0.64/0.90 ( (vgetSomeExp (vreduce skv_48)), skv_49, (vgetSomeExp (vreduce (vabs skv_21 skv_20 skv_23))), skv_22 ) 0.64/0.90 ( (vgetSomeExp (vreduce (vabs skv_21 skv_20 skv_23))), skv_22, (vabs skv_44 skv_46 skv_45), (vgetSomeExp (vreduce skv_12)) ) 0.64/0.90 ( (vgetSomeExp (vreduce (vabs skv_21 skv_20 skv_23))), skv_22, (vgetSomeExp (vreduce skv_48)), skv_49 ) 0.64/0.90 ( (vabs skv_98 skv_99 skv_100), skv_97, (vabs skv_44 skv_46 skv_45), skv_12 ) 0.64/0.90 ( skv_101, skv_102, (vgetSomeExp (vreduce skv_101)), skv_102 ) 0.64/0.90 ( skv_101, skv_102, skv_104, skv_103 ) 0.64/0.90 ( (vgetSomeExp (vreduce skv_101)), skv_102, skv_101, skv_102 ) 0.64/0.90 ( (vgetSomeExp (vreduce skv_101)), skv_102, (vgetSomeExp (vreduce skv_101)), skv_102 ) 0.64/0.90 ( skv_104, skv_103, (vgetSomeExp (vreduce skv_101)), skv_102 ) 0.64/0.90 ( (vabs skv_106 skv_105 skv_108), skv_107, (vabs skv_106 skv_105 skv_108), skv_107 ) 0.64/0.90 ( (vabs skv_106 skv_105 skv_108), skv_107, (vabs skv_110 skv_111 skv_112), skv_109 ) 0.64/0.90 ( (vabs skv_110 skv_111 skv_112), skv_109, (vabs skv_106 skv_105 skv_108), skv_107 ) 0.64/0.90 ( (vabs skv_110 skv_111 skv_112), skv_109, (vabs skv_110 skv_111 skv_112), (vgetSomeExp (vreduce skv_109)) ) 0.64/0.90 ( (vabs skv_110 skv_111 skv_112), (vgetSomeExp (vreduce skv_109)), (vabs skv_106 skv_105 skv_108), skv_107 ) 0.64/0.90 ( (vabs skv_118 skv_119 skv_120), skv_117, (vabs skv_118 skv_119 skv_120), skv_117 ) 0.64/0.90 ( (vabs skv_118 skv_119 skv_120), skv_117, (vgetSomeExp (vreduce skv_121)), skv_122 ) 0.64/0.90 ( skv_121, skv_122, (vabs skv_118 skv_119 skv_120), skv_117 ) 0.64/0.90 ( (vgetSomeExp (vreduce skv_121)), skv_122, (vabs skv_118 skv_119 skv_120), skv_117 ) 0.64/0.90 ( (vgetSomeExp (vreduce skv_121)), skv_122, skv_121, skv_122 ) 0.64/0.90 ( skv_124, skv_123, skv_124, skv_123 ) 0.64/0.90 ( skv_124, skv_123, (vabs skv_126 skv_125 skv_128), skv_127 ) 0.64/0.90 ( (vabs skv_126 skv_125 skv_128), skv_127, skv_124, skv_123 ) 0.64/0.90 ( (vabs skv_126 skv_125 skv_128), skv_127, (vabs skv_130 skv_131 skv_132), skv_129 ) 0.64/0.90 ( (vabs skv_130 skv_131 skv_132), skv_129, skv_124, skv_123 ) 0.64/0.90 ( (vabs skv_130 skv_131 skv_132), (vgetSomeExp (vreduce skv_129)), (vabs skv_130 skv_131 skv_132), (vgetSomeExp (vreduce skv_129)) ) 0.64/0.90 ( (vabs skv_130 skv_131 skv_132), (vgetSomeExp (vreduce skv_129)), (vabs skv_135 skv_136 skv_137), skv_134 ) 0.64/0.90 ( (vabs skv_135 skv_136 skv_137), skv_134, (vabs skv_130 skv_131 skv_132), (vgetSomeExp (vreduce skv_129)) ) 0.64/0.90 ( (vabs skv_135 skv_136 skv_137), skv_134, (vgetSomeExp (vreduce skv_138)), skv_139 ) 0.64/0.90 ( skv_138, skv_139, skv_138, skv_139 ) 0.64/0.90 ( skv_138, skv_139, skv_141, skv_140 ) 0.64/0.90 ( (vgetSomeExp (vreduce skv_138)), skv_139, (vabs skv_130 skv_131 skv_132), (vgetSomeExp (vreduce skv_129)) ) 0.64/0.90 ( skv_141, skv_140, skv_138, skv_139 ) 0.64/0.90 ( skv_141, skv_140, (vabs skv_143 skv_142 skv_145), skv_144 ) 0.64/0.90 ( (vabs skv_143 skv_142 skv_145), skv_144, skv_138, skv_139 ) 0.64/0.90 ( (vabs skv_147 skv_148 skv_149), skv_146, (vabs skv_147 skv_148 skv_149), skv_146 ) 0.64/0.90 ( (vabs skv_147 skv_148 skv_149), skv_146, (vabs skv_147 skv_148 skv_149), (vgetSomeExp (vreduce skv_146)) ) 0.64/0.90 ( (vabs skv_147 skv_148 skv_149), (vgetSomeExp (vreduce skv_146)), (vabs skv_147 skv_148 skv_149), skv_146 ) 0.64/0.90 ( (vabs skv_147 skv_148 skv_149), (vgetSomeExp (vreduce skv_146)), (vgetSomeExp (vreduce skv_151)), skv_152 ) 0.64/0.90 ( skv_151, skv_152, skv_151, skv_152 ) 0.64/0.90 ( skv_151, skv_152, (vabs skv_154 skv_153 skv_156), skv_155 ) 0.64/0.90 ( (vgetSomeExp (vreduce skv_151)), skv_152, (vabs skv_147 skv_148 skv_149), skv_146 ) 0.64/0.90 ( (vabs skv_154 skv_153 skv_156), skv_155, skv_151, skv_152 ) 0.64/0.90 ( (vabs skv_154 skv_153 skv_156), skv_155, (vabs skv_158 skv_159 skv_160), skv_157 ) 0.64/0.90 ( (vabs skv_158 skv_159 skv_160), skv_157, skv_151, skv_152 ) 0.64/0.90 ( (vabs skv_158 skv_159 skv_160), (vgetSomeExp (vreduce skv_157)), (vabs skv_158 skv_159 skv_160), (vgetSomeExp (vreduce skv_157)) ) 0.64/0.90 ( (vabs skv_158 skv_159 skv_160), (vgetSomeExp (vreduce skv_157)), (vabs skv_165 skv_166 skv_167), skv_164 ) 0.64/0.90 ( (vabs skv_165 skv_166 skv_167), skv_164, (vabs skv_158 skv_159 skv_160), (vgetSomeExp (vreduce skv_157)) ) 0.64/0.90 ( (vabs skv_165 skv_166 skv_167), skv_164, (vgetSomeExp (vreduce skv_168)), skv_169 ) 0.64/0.90 ( skv_168, skv_169, skv_168, skv_169 ) 0.64/0.90 ( skv_168, skv_169, skv_171, skv_170 ) 0.64/0.90 ( (vgetSomeExp (vreduce skv_168)), skv_169, (vabs skv_158 skv_159 skv_160), (vgetSomeExp (vreduce skv_157)) ) 0.64/0.90 ( skv_171, skv_170, skv_168, skv_169 ) 0.64/0.90 ( skv_171, skv_170, (vabs skv_173 skv_172 skv_175), skv_174 ) 0.64/0.90 ( (vabs skv_173 skv_172 skv_175), skv_174, skv_168, skv_169 ) 0.64/0.90 ( (vabs skv_177 skv_178 skv_179), skv_176, (vabs skv_177 skv_178 skv_179), skv_176 ) 0.64/0.90 ( (vabs skv_177 skv_178 skv_179), skv_176, (vabs skv_177 skv_178 skv_179), (vgetSomeExp (vreduce skv_176)) ) 0.64/0.90 ( (vabs skv_177 skv_178 skv_179), (vgetSomeExp (vreduce skv_176)), (vabs skv_177 skv_178 skv_179), skv_176 ) 0.64/0.90 ( (vabs skv_177 skv_178 skv_179), (vgetSomeExp (vreduce skv_176)), (vgetSomeExp (vreduce skv_181)), skv_182 ) 0.64/0.90 ( skv_181, skv_182, skv_181, skv_182 ) 0.64/0.90 ( skv_181, skv_182, (vabs skv_184 skv_183 skv_186), skv_185 ) 0.64/0.90 ( (vgetSomeExp (vreduce skv_181)), skv_182, (vabs skv_177 skv_178 skv_179), skv_176 ) 0.64/0.90 ( (vabs skv_184 skv_183 skv_186), skv_185, skv_181, skv_182 ) 0.64/0.90 ( (vabs skv_184 skv_183 skv_186), skv_185, (vabs skv_188 skv_189 skv_190), skv_187 ) 0.64/0.90 ( (vabs skv_188 skv_189 skv_190), skv_187, skv_181, skv_182 ) 0.64/0.90 ( (vabs skv_188 skv_189 skv_190), (vgetSomeExp (vreduce skv_187)), (vabs skv_188 skv_189 skv_190), (vgetSomeExp (vreduce skv_187)) ) 0.64/0.90 ( (vabs skv_188 skv_189 skv_190), (vgetSomeExp (vreduce skv_187)), skv_195, skv_196 ) 0.64/0.90 ( skv_195, skv_196, (vabs skv_188 skv_189 skv_190), (vgetSomeExp (vreduce skv_187)) ) 0.64/0.90 ( skv_195, skv_196, skv_203, skv_204 ) 0.64/0.90 ( skv_203, skv_204, (vabs skv_188 skv_189 skv_190), (vgetSomeExp (vreduce skv_187)) ) 0.64/0.90 ( skv_211, skv_212, skv_211, skv_212 ) 0.64/0.90 ( skv_211, skv_212, skv_219, skv_220 ) 0.64/0.90 ( skv_219, skv_220, skv_211, skv_212 ) 0.64/0.90 ( skv_219, skv_220, skv_227, skv_228 ) 0.64/0.90 ( skv_227, skv_228, skv_211, skv_212 ) 0.64/0.90 ( skv_235, skv_236, skv_235, skv_236 ) 0.64/0.90 ( skv_235, skv_236, skv_243, skv_244 ) 0.64/0.90 ( skv_243, skv_244, skv_235, skv_236 ) 0.64/0.90 ( skv_243, skv_244, skv_251, skv_252 ) 0.64/0.90 ( skv_251, skv_252, skv_235, skv_236 ) 0.64/0.90 ( skv_259, skv_260, skv_259, skv_260 ) 0.64/0.90 ( skv_259, skv_260, skv_267, skv_268 ) 0.64/0.90 ( skv_267, skv_268, skv_22, skv_278 ) 0.64/0.90 ( skv_267, skv_268, skv_259, skv_260 ) 0.64/0.90 ( (vapp skv_22 skv_278), (vvar skv_21), (vapp skv_22 skv_278), (vvar skv_21) ) 0.64/0.90 ( (vapp skv_22 skv_278), (vvar skv_21), skv_279, skv_280 ) 0.64/0.90 ( skv_279, skv_280, (vapp skv_22 skv_278), (vvar skv_21) ) 0.64/0.90 ( skv_279, skv_280, (vsubst skv_21 skv_22 skv_279), (vsubst skv_21 skv_22 skv_280) ) 0.64/0.90 ( (vsubst skv_21 skv_22 skv_279), (vsubst skv_21 skv_22 skv_280), (vapp skv_22 skv_278), (vvar skv_21) ) 0.64/0.90 ( (vabs skv_323 skv_324 skv_325), skv_322, (vabs skv_323 skv_324 skv_325), skv_322 ) 0.64/0.90 ) 0.64/0.90 (instantiation (forall ((Ve $$unsorted)) (= Ve (vgetSomeType (vsomeType Ve))) ) 0.64/0.90 ( skv_2 ) 0.64/0.90 ( skv_6 ) 0.64/0.90 ( skv_9 ) 0.64/0.90 ( skv_10 ) 0.64/0.90 ( skv_13 ) 0.64/0.90 ( (varrow skv_13 skv_6) ) 0.64/0.90 ( skv_30 ) 0.64/0.90 ( skv_31 ) 0.64/0.90 ( skv_34 ) 0.64/0.90 ( (varrow skv_34 skv_10) ) 0.64/0.90 ( skv_38 ) 0.64/0.90 ( skv_39 ) 0.64/0.90 ( skv_42 ) 0.64/0.90 ( (varrow skv_42 skv_13) ) 0.64/0.90 ( skv_46 ) 0.64/0.90 ( skv_47 ) 0.64/0.90 ( skv_50 ) 0.64/0.90 ( (varrow skv_50 (varrow skv_13 skv_6)) ) 0.64/0.90 ( skv_53 ) 0.64/0.90 ( skv_54 ) 0.64/0.90 ( skv_58 ) 0.64/0.90 ( skv_59 ) 0.64/0.90 ( skv_63 ) 0.64/0.90 ( skv_64 ) 0.64/0.90 ( skv_283 ) 0.64/0.90 ( skv_288 ) 0.64/0.90 ( skv_293 ) 0.64/0.90 ( skv_298 ) 0.64/0.90 ( skv_303 ) 0.64/0.90 ( skv_308 ) 0.64/0.90 ( skv_313 ) 0.64/0.90 ( skv_318 ) 0.64/0.90 ) 0.64/0.90 (instantiation (forall ((VVar0 $$unsorted) (VVar1 $$unsorted) (VTyp0 $$unsorted) (VExp0 $$unsorted)) (not (= (vvar VVar0) (vabs VVar1 VTyp0 VExp0))) ) 0.64/0.90 ( skv_14, skv_4, skv_5, veabs ) 0.64/0.90 ( skv_14, skv_7, skv_9, skv_8 ) 0.64/0.90 ( skv_14, skv_15, skv_16, skv_17 ) 0.64/0.90 ( skv_21, skv_21, skv_20, skv_23 ) 0.64/0.90 ( skv_35, skv_4, skv_5, veabs ) 0.64/0.90 ( skv_35, skv_7, skv_9, skv_8 ) 0.64/0.90 ( skv_43, skv_4, skv_5, veabs ) 0.64/0.90 ( skv_43, skv_15, skv_16, skv_17 ) 0.64/0.90 ( skv_51, skv_21, skv_20, skv_23 ) 0.64/0.90 ( skv_51, skv_25, skv_26, skv_27 ) 0.64/0.90 ( skv_113, skv_28, skv_30, skv_29 ) 0.64/0.90 ( skv_133, skv_36, skv_38, skv_37 ) 0.64/0.90 ( skv_150, skv_44, skv_46, skv_45 ) 0.64/0.90 ( skv_180, skv_65, skv_64, (vabs skv_4 skv_5 veabs) ) 0.64/0.90 ( skv_198, skv_65, skv_64, skv_11 ) 0.64/0.90 ( skv_206, skv_65, skv_64, skv_12 ) 0.64/0.90 ( skv_214, skv_65, skv_64, (vabs skv_7 skv_9 skv_8) ) 0.64/0.90 ( skv_222, skv_65, skv_64, (vapp skv_11 skv_12) ) 0.64/0.90 ( skv_230, skv_7, skv_9, skv_32 ) 0.64/0.90 ( skv_238, skv_7, skv_9, skv_33 ) 0.64/0.90 ( skv_246, skv_65, skv_64, skv_40 ) 0.64/0.90 ( skv_254, skv_65, skv_64, skv_41 ) 0.64/0.90 ( skv_262, skv_65, skv_64, skv_48 ) 0.64/0.90 ( skv_270, skv_65, skv_64, skv_49 ) 0.64/0.90 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_67, skv_68, skv_69 ) 0.64/0.90 ( skv_281, skv_70, skv_71, skv_72 ) 0.64/0.90 ) 0.64/0.90 (instantiation (forall ((VVar0 $$unsorted) (VExp0 $$unsorted) (VExp1 $$unsorted)) (not (= (vvar VVar0) (vapp VExp0 VExp1))) ) 0.64/0.90 ( skv_14, skv_11, skv_12 ) 0.64/0.90 ( skv_14, (vgetSomeExp (vreduce skv_11)), skv_12 ) 0.64/0.90 ( skv_14, (vgetSomeExp (vreduce skv_18)), skv_19 ) 0.64/0.90 ( skv_21, skv_18, skv_19 ) 0.64/0.90 ( skv_35, skv_11, skv_12 ) 0.64/0.90 ( skv_35, (vgetSomeExp (vreduce skv_11)), skv_12 ) 0.64/0.90 ( skv_43, skv_11, skv_12 ) 0.64/0.90 ( skv_43, (vgetSomeExp (vreduce skv_18)), skv_19 ) 0.64/0.90 ( skv_51, skv_18, skv_19 ) 0.64/0.90 ( skv_51, (vabs skv_21 skv_20 skv_23), skv_22 ) 0.64/0.90 ( skv_113, (vabs skv_25 skv_26 skv_27), skv_24 ) 0.64/0.90 ( skv_133, (vabs skv_25 skv_26 skv_27), (vgetSomeExp (vreduce skv_24)) ) 0.64/0.90 ( skv_150, skv_32, skv_33 ) 0.64/0.90 ( skv_180, skv_40, skv_41 ) 0.64/0.90 ( skv_198, skv_48, skv_49 ) 0.64/0.90 ( skv_206, (vgetSomeExp (vreduce (vabs skv_25 skv_26 skv_27))), skv_24 ) 0.64/0.90 ( skv_214, (vgetSomeExp (vreduce skv_48)), skv_49 ) 0.64/0.90 ( skv_222, (vgetSomeExp (vreduce (vabs skv_21 skv_20 skv_23))), skv_22 ) 0.64/0.90 ( skv_230, (vabs skv_44 skv_46 skv_45), (vgetSomeExp (vreduce skv_12)) ) 0.64/0.90 ( skv_238, (vabs skv_44 skv_46 skv_45), skv_12 ) 0.64/0.90 ( skv_246, (vabs skv_21 skv_20 skv_23), (vgetSomeExp (vreduce skv_22)) ) 0.64/0.90 ( skv_254, (vabs skv_98 skv_99 skv_100), skv_97 ) 0.64/0.90 ( skv_262, (vgetSomeExp (vreduce skv_101)), skv_102 ) 0.64/0.90 ( skv_270, skv_101, skv_102 ) 0.64/0.90 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_104, skv_103 ) 0.64/0.90 ( skv_281, (vabs skv_106 skv_105 skv_108), skv_107 ) 0.64/0.90 ) 0.64/0.90 (instantiation (forall ((Ve $$unsorted)) (not (visFreeVar (vgensym Ve) Ve)) ) 0.64/0.90 ( (vapp (vapp skv_22 skv_278) (vvar skv_21)) ) 0.64/0.90 ) 0.64/0.90 (instantiation (let ((_let_0 (vlookup VVar0 VCtx0))) (forall ((VVar0 $$unsorted) (VCtx0 $$unsorted)) (or (not (forall ((VTy $$unsorted) (Vy $$unsorted) (VC $$unsorted)) (or (not (= VCtx0 (vbind Vy VTy VC))) (= VVar0 Vy) (not (= _let_0 (vlookup VVar0 VC)))) )) (not (forall ((VC $$unsorted) (VTy $$unsorted)) (or (not (= VCtx0 (vbind VVar0 VTy VC))) (not (= _let_0 (vsomeType VTy)))) )) (and (= vnoType _let_0) (= vempty VCtx0))) )) 0.64/0.90 ( skv_1, skv_3 ) 0.64/0.90 ( skv_1, (vbind skv_1 skv_2 skv_3) ) 0.64/0.90 ( skv_1, skv_61 ) 0.64/0.90 ( skv_1, (vbind skv_60 skv_59 skv_61) ) 0.64/0.90 ( skv_1, skv_66 ) 0.64/0.90 ( skv_1, (vbind skv_65 skv_64 skv_66) ) 0.64/0.90 ( skv_1, skv_316 ) 0.64/0.90 ( skv_1, skv_321 ) 0.64/0.90 ( skv_7, (vbind skv_1 skv_2 skv_3) ) 0.64/0.90 ( skv_7, (vbind skv_7 skv_9 skv_3) ) 0.64/0.90 ( skv_7, skv_311 ) 0.64/0.90 ( skv_14, skv_3 ) 0.64/0.90 ( skv_14, (vbind skv_1 skv_2 skv_3) ) 0.64/0.90 ( skv_14, skv_56 ) 0.64/0.90 ( skv_14, skv_301 ) 0.64/0.90 ( skv_14, skv_306 ) 0.64/0.90 ( skv_28, (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3)) ) 0.64/0.90 ( skv_35, skv_3 ) 0.64/0.90 ( skv_35, (vbind skv_7 skv_9 skv_3) ) 0.64/0.90 ( skv_35, (vbind skv_7 skv_9 (vbind skv_1 skv_2 skv_3)) ) 0.64/0.90 ( skv_35, skv_296 ) 0.64/0.90 ( skv_36, (vbind skv_36 skv_38 skv_3) ) 0.64/0.90 ( skv_43, skv_3 ) 0.64/0.90 ( skv_43, skv_66 ) 0.64/0.90 ( skv_43, (vbind skv_65 skv_64 skv_66) ) 0.64/0.90 ( skv_43, skv_291 ) 0.64/0.90 ( skv_44, (vbind skv_44 skv_46 skv_3) ) 0.64/0.90 ( skv_51, skv_3 ) 0.64/0.90 ( skv_51, skv_66 ) 0.64/0.90 ( skv_51, (vbind skv_65 skv_64 skv_66) ) 0.64/0.90 ( skv_51, skv_286 ) 0.64/0.90 ( skv_55, (vbind skv_55 skv_54 skv_56) ) 0.64/0.90 ( skv_60, skv_61 ) 0.64/0.90 ( skv_60, (vbind skv_60 skv_59 skv_61) ) 0.64/0.90 ( skv_198, (vbind skv_44 skv_46 skv_3) ) 0.64/0.90 ( skv_206, (vbind skv_36 skv_38 skv_3) ) 0.64/0.90 ( skv_214, (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3)) ) 0.64/0.90 ( skv_222, (vbind skv_7 skv_9 skv_3) ) 0.64/0.90 ( skv_230, (vbind skv_7 skv_9 skv_3) ) 0.64/0.90 ( skv_238, skv_3 ) 0.64/0.90 ( skv_246, skv_3 ) 0.64/0.90 ( skv_254, skv_3 ) 0.64/0.90 ( skv_262, skv_3 ) 0.64/0.90 ( skv_270, skv_3 ) 0.64/0.90 ) 0.64/0.90 (instantiation (let ((_let_0 (vvar Vy))) (let ((_let_1 (vsubst VVar0 VExp0 VExp1))) (let ((_let_2 (vvar VVar0))) (let ((_let_3 (vgensym (vapp (vapp VExp0 Ve1) _let_2)))) (let ((_let_4 (vabs VVar0 VT Ve1))) (forall ((VVar0 $$unsorted) (VExp0 $$unsorted) (VExp1 $$unsorted)) (or (not (forall ((Vy $$unsorted)) (or (not (= VExp1 _let_0)) (= VVar0 Vy) (not (= _let_0 _let_1))) )) (not (forall ((Ve1 $$unsorted) (Ve2 $$unsorted)) (or (not (= VExp1 (vapp Ve1 Ve2))) (not (= _let_1 (vapp (vsubst VVar0 VExp0 Ve1) (vsubst VVar0 VExp0 Ve2))))) )) (not (forall ((VT $$unsorted) (Vy $$unsorted) (Ve1 $$unsorted)) (or (not (= _let_1 (vsubst VVar0 VExp0 (vabs _let_3 VT (vsubst Vy (vvar _let_3) Ve1))))) (not (visFreeVar Vy VExp0)) (= VVar0 Vy) (not (= VExp1 (vabs Vy VT Ve1)))) )) (not (forall ((Vy $$unsorted) (VT $$unsorted) (Ve1 $$unsorted)) (or (visFreeVar Vy VExp0) (not (= _let_1 (vabs Vy VT (vsubst VVar0 VExp0 Ve1)))) (= VVar0 Vy) (not (= VExp1 (vabs Vy VT Ve1)))) )) (not (forall ((VT $$unsorted) (Ve1 $$unsorted)) (or (not (= _let_1 _let_4)) (not (= VExp1 _let_4))) )) (and (= VExp0 _let_1) (= VExp1 _let_2))) )))))) 0.64/0.90 ( skv_21, skv_22, skv_23 ) 0.64/0.90 ( skv_21, skv_22, skv_275 ) 0.64/0.90 ( skv_21, skv_22, (let ((_let_0 (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))))) (vabs _let_0 skv_276 (vsubst skv_277 (vvar _let_0) skv_278))) ) 0.64/0.90 ( skv_21, skv_22, skv_279 ) 0.64/0.90 ( skv_21, skv_22, skv_280 ) 0.64/0.90 ( skv_25, skv_24, skv_27 ) 0.64/0.90 ( skv_25, (vgetSomeExp (vreduce skv_24)), skv_27 ) 0.64/0.90 ( skv_44, skv_12, skv_45 ) 0.64/0.90 ( skv_106, skv_107, skv_108 ) 0.64/0.90 ( skv_126, skv_127, skv_128 ) 0.64/0.90 ( skv_143, skv_144, skv_145 ) 0.64/0.90 ( skv_154, skv_155, skv_156 ) 0.64/0.90 ( skv_173, skv_174, skv_175 ) 0.64/0.90 ( skv_184, skv_185, skv_186 ) 0.64/0.90 ( skv_277, (vvar (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21)))), skv_278 ) 0.64/0.90 ) 0.64/0.90 (instantiation (forall ((Vx $$unsorted)) (= vnoExp (vreduce (vvar Vx))) ) 0.64/0.90 ( skv_14 ) 0.64/0.90 ( skv_21 ) 0.64/0.90 ( skv_35 ) 0.64/0.90 ( skv_43 ) 0.64/0.90 ( skv_51 ) 0.64/0.90 ( skv_113 ) 0.64/0.90 ( skv_133 ) 0.64/0.90 ( skv_150 ) 0.64/0.90 ( skv_180 ) 0.64/0.90 ( skv_198 ) 0.64/0.90 ( skv_206 ) 0.64/0.90 ( skv_214 ) 0.64/0.90 ( skv_222 ) 0.64/0.90 ( skv_230 ) 0.64/0.90 ( skv_238 ) 0.64/0.90 ( skv_246 ) 0.64/0.90 ( skv_254 ) 0.64/0.90 ( skv_262 ) 0.64/0.90 ( skv_270 ) 0.64/0.90 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))) ) 0.64/0.90 ( skv_281 ) 0.64/0.90 ) 0.64/0.90 (instantiation (forall ((VT $$unsorted) (Vx $$unsorted) (Vv $$unsorted) (Ve $$unsorted)) (or (not (visFreeVar Vv (vabs Vx VT Ve))) (and (not (= Vx Vv)) (visFreeVar Vv Ve))) ) 0.64/0.90 ( skv_30, skv_28, skv_7, skv_29 ) 0.64/0.90 ( skv_77, skv_76, skv_7, skv_78 ) 0.64/0.90 ( skv_115, skv_114, skv_277, skv_116 ) 0.64/0.90 ( skv_193, skv_191, skv_44, skv_192 ) 0.64/0.90 ( skv_217, skv_215, skv_7, skv_216 ) 0.64/0.90 ) 0.64/0.90 (instantiation (forall ((VT $$unsorted) (Vx $$unsorted) (Vv $$unsorted) (Ve $$unsorted)) (or (not (visFreeVar Vv Ve)) (= Vx Vv) (visFreeVar Vv (vabs Vx VT Ve))) ) 0.64/0.90 ( skv_5, skv_4, skv_1, veabs ) 0.64/0.90 ( skv_5, skv_4, skv_65, veabs ) 0.64/0.90 ( skv_9, skv_7, skv_1, skv_8 ) 0.64/0.90 ( skv_16, skv_15, skv_1, skv_17 ) 0.64/0.90 ( skv_16, skv_15, skv_65, skv_17 ) 0.64/0.90 ( skv_20, skv_21, skv_1, skv_23 ) 0.64/0.90 ( skv_26, skv_25, skv_1, skv_27 ) 0.64/0.90 ( skv_30, skv_28, skv_1, skv_29 ) 0.64/0.90 ( skv_30, skv_28, skv_7, skv_29 ) 0.64/0.90 ( skv_38, skv_36, skv_1, skv_37 ) 0.64/0.90 ( skv_46, skv_44, skv_1, skv_45 ) 0.64/0.90 ( skv_68, skv_67, skv_1, skv_69 ) 0.64/0.90 ( skv_68, skv_67, skv_65, skv_69 ) 0.64/0.90 ( skv_71, skv_70, skv_1, skv_72 ) 0.64/0.90 ( skv_71, skv_70, skv_65, skv_72 ) 0.64/0.90 ( skv_74, skv_73, skv_1, skv_75 ) 0.64/0.90 ( skv_74, skv_73, skv_65, skv_75 ) 0.64/0.90 ( skv_77, skv_76, skv_1, skv_78 ) 0.64/0.90 ( skv_95, skv_94, skv_1, skv_96 ) 0.64/0.90 ( skv_115, skv_114, skv_1, skv_116 ) 0.64/0.90 ( skv_115, skv_114, skv_273, skv_116 ) 0.64/0.90 ( skv_162, skv_161, skv_1, skv_163 ) 0.64/0.90 ( skv_162, skv_161, skv_65, skv_163 ) 0.64/0.90 ( skv_193, skv_191, skv_1, skv_192 ) 0.64/0.90 ( skv_201, skv_199, skv_1, skv_200 ) 0.64/0.90 ( skv_201, skv_199, skv_36, skv_200 ) 0.64/0.90 ( skv_209, skv_207, skv_1, skv_208 ) 0.64/0.90 ( skv_209, skv_207, skv_7, skv_208 ) 0.64/0.90 ( skv_209, skv_207, skv_28, skv_208 ) 0.64/0.90 ( skv_217, skv_215, skv_1, skv_216 ) 0.64/0.90 ( skv_233, skv_231, skv_1, skv_232 ) 0.64/0.90 ( skv_233, skv_231, skv_65, skv_232 ) 0.64/0.90 ( skv_249, skv_247, skv_1, skv_248 ) 0.64/0.90 ( skv_249, skv_247, skv_65, skv_248 ) 0.64/0.90 ) 0.64/0.90 (instantiation (forall ((VVar0 $$unsorted) (VTyp0 $$unsorted) (VExp0 $$unsorted) (VVar1 $$unsorted) (VTyp1 $$unsorted) (VExp1 $$unsorted)) (or (not (= (vabs VVar1 VTyp1 VExp1) (vabs VVar0 VTyp0 VExp0))) (and (= VExp0 VExp1) (= VTyp0 VTyp1) (= VVar0 VVar1))) ) 0.64/0.90 ( skv_4, skv_5, veabs, skv_4, skv_5, veabs ) 0.64/0.90 ( skv_4, skv_5, veabs, skv_7, skv_9, skv_8 ) 0.64/0.90 ( skv_4, skv_5, veabs, skv_15, skv_16, skv_17 ) 0.64/0.90 ( skv_4, skv_5, veabs, skv_21, skv_20, skv_23 ) 0.64/0.90 ( skv_4, skv_5, veabs, skv_25, skv_26, skv_27 ) 0.64/0.90 ( skv_4, skv_5, veabs, skv_28, skv_30, skv_29 ) 0.64/0.90 ( skv_7, skv_9, skv_8, skv_4, skv_5, veabs ) 0.64/0.90 ( skv_7, skv_9, skv_8, skv_7, skv_9, skv_8 ) 0.64/0.90 ( skv_7, skv_9, skv_8, skv_15, skv_16, skv_17 ) 0.64/0.90 ( skv_7, skv_9, skv_8, skv_21, skv_20, skv_23 ) 0.64/0.90 ( skv_7, skv_9, skv_8, skv_25, skv_26, skv_27 ) 0.64/0.90 ( skv_7, skv_9, skv_32, skv_7, skv_9, skv_32 ) 0.64/0.90 ( skv_7, skv_9, skv_32, skv_7, skv_9, skv_33 ) 0.64/0.90 ( skv_7, skv_9, skv_32, skv_65, skv_64, skv_40 ) 0.64/0.90 ( skv_7, skv_9, skv_33, skv_7, skv_9, skv_32 ) 0.64/0.90 ( skv_15, skv_16, skv_17, skv_4, skv_5, veabs ) 0.64/0.90 ( skv_15, skv_16, skv_17, skv_7, skv_9, skv_8 ) 0.64/0.90 ( skv_15, skv_16, skv_17, skv_15, skv_16, skv_17 ) 0.64/0.90 ( skv_15, skv_16, skv_17, skv_21, skv_20, skv_23 ) 0.64/0.90 ( skv_15, skv_16, skv_17, skv_36, skv_38, skv_37 ) 0.64/0.90 ( skv_21, skv_20, skv_23, skv_4, skv_5, veabs ) 0.64/0.90 ( skv_21, skv_20, skv_23, skv_7, skv_9, skv_8 ) 0.64/0.90 ( skv_21, skv_20, skv_23, skv_15, skv_16, skv_17 ) 0.64/0.90 ( skv_21, skv_271, skv_272, skv_263, skv_265, skv_264 ) 0.64/0.90 ( skv_25, skv_26, skv_27, skv_4, skv_5, veabs ) 0.64/0.90 ( skv_25, skv_26, skv_27, skv_25, skv_26, skv_27 ) 0.64/0.91 ( skv_25, skv_26, skv_27, skv_28, skv_30, skv_29 ) 0.64/0.91 ( skv_25, skv_26, skv_27, skv_36, skv_38, skv_37 ) 0.64/0.91 ( skv_28, skv_30, skv_29, skv_7, skv_9, skv_8 ) 0.64/0.91 ( skv_28, skv_30, skv_29, skv_25, skv_26, skv_27 ) 0.64/0.91 ( skv_36, skv_38, skv_37, skv_21, skv_20, skv_23 ) 0.64/0.91 ( skv_36, skv_38, skv_37, skv_28, skv_30, skv_29 ) 0.64/0.91 ( skv_44, skv_46, skv_45, skv_44, skv_46, skv_45 ) 0.64/0.91 ( skv_44, skv_46, skv_45, skv_65, skv_64, (vabs skv_4 skv_5 veabs) ) 0.64/0.91 ( skv_44, skv_46, skv_45, skv_65, skv_64, skv_11 ) 0.64/0.91 ( skv_65, skv_64, (vabs skv_4 skv_5 veabs), skv_44, skv_46, skv_45 ) 0.64/0.91 ( skv_65, skv_64, (vabs skv_7 skv_9 skv_8), skv_65, skv_64, skv_12 ) 0.64/0.91 ( skv_65, skv_64, skv_11, skv_65, skv_64, (vabs skv_4 skv_5 veabs) ) 0.64/0.91 ( skv_65, skv_64, skv_12, skv_65, skv_64, (vabs skv_7 skv_9 skv_8) ) 0.64/0.91 ( skv_65, skv_64, skv_12, skv_65, skv_64, skv_12 ) 0.64/0.91 ( skv_65, skv_64, skv_12, skv_65, skv_64, (vapp skv_11 skv_12) ) 0.64/0.91 ( skv_65, skv_64, (vapp skv_11 skv_12), skv_65, skv_64, (vabs skv_7 skv_9 skv_8) ) 0.64/0.91 ( skv_65, skv_64, skv_40, skv_7, skv_9, skv_33 ) 0.64/0.91 ( skv_65, skv_64, skv_41, skv_65, skv_64, skv_41 ) 0.64/0.91 ( skv_65, skv_64, skv_41, skv_65, skv_64, skv_48 ) 0.64/0.91 ( skv_65, skv_64, skv_41, skv_65, skv_64, skv_49 ) 0.64/0.91 ( skv_65, skv_64, skv_48, skv_65, skv_64, skv_41 ) 0.64/0.91 ( skv_65, skv_64, skv_49, skv_65, skv_64, skv_48 ) 0.64/0.91 ( skv_67, skv_68, skv_69, skv_67, skv_68, skv_69 ) 0.64/0.91 ( skv_67, skv_68, skv_69, skv_70, skv_71, skv_72 ) 0.64/0.91 ( skv_67, skv_68, skv_69, skv_73, skv_74, skv_75 ) 0.64/0.91 ( skv_70, skv_71, skv_72, skv_67, skv_68, skv_69 ) 0.64/0.91 ( skv_73, skv_74, skv_75, skv_70, skv_71, skv_72 ) 0.64/0.91 ( skv_76, skv_77, skv_78, skv_76, skv_77, skv_78 ) 0.64/0.91 ( skv_76, skv_77, skv_78, skv_79, skv_80, skv_81 ) 0.64/0.91 ( skv_76, skv_77, skv_78, skv_82, skv_83, skv_84 ) 0.64/0.91 ( skv_79, skv_80, skv_81, skv_76, skv_77, skv_78 ) 0.64/0.91 ( skv_82, skv_83, skv_84, skv_79, skv_80, skv_81 ) 0.64/0.91 ( skv_85, skv_86, skv_87, skv_85, skv_86, skv_87 ) 0.64/0.91 ( skv_85, skv_86, skv_87, skv_88, skv_89, skv_90 ) 0.64/0.91 ( skv_85, skv_86, skv_87, skv_91, skv_92, skv_93 ) 0.64/0.91 ( skv_88, skv_89, skv_90, skv_85, skv_86, skv_87 ) 0.64/0.91 ( skv_91, skv_92, skv_93, skv_88, skv_89, skv_90 ) 0.64/0.91 ( skv_94, skv_95, skv_96, skv_94, skv_95, skv_96 ) 0.64/0.91 ( skv_94, skv_95, skv_96, skv_98, skv_99, skv_100 ) 0.64/0.91 ( skv_94, skv_95, skv_96, skv_106, skv_105, skv_108 ) 0.64/0.91 ( skv_98, skv_99, skv_100, skv_94, skv_95, skv_96 ) 0.64/0.91 ( skv_106, skv_105, skv_108, skv_98, skv_99, skv_100 ) 0.64/0.91 ( skv_110, skv_111, skv_112, skv_110, skv_111, skv_112 ) 0.64/0.91 ( skv_110, skv_111, skv_112, skv_114, skv_115, skv_116 ) 0.64/0.91 ( skv_110, skv_111, skv_112, skv_118, skv_119, skv_120 ) 0.64/0.91 ( skv_114, skv_115, skv_116, skv_110, skv_111, skv_112 ) 0.64/0.91 ( skv_118, skv_119, skv_120, skv_114, skv_115, skv_116 ) 0.64/0.91 ( skv_126, skv_125, skv_128, skv_126, skv_125, skv_128 ) 0.64/0.91 ( skv_126, skv_125, skv_128, skv_130, skv_131, skv_132 ) 0.64/0.91 ( skv_126, skv_125, skv_128, skv_135, skv_136, skv_137 ) 0.64/0.91 ( skv_130, skv_131, skv_132, skv_126, skv_125, skv_128 ) 0.64/0.91 ( skv_135, skv_136, skv_137, skv_130, skv_131, skv_132 ) 0.64/0.91 ( skv_143, skv_142, skv_145, skv_143, skv_142, skv_145 ) 0.64/0.91 ( skv_143, skv_142, skv_145, skv_147, skv_148, skv_149 ) 0.64/0.91 ( skv_143, skv_142, skv_145, skv_154, skv_153, skv_156 ) 0.64/0.91 ( skv_147, skv_148, skv_149, skv_143, skv_142, skv_145 ) 0.64/0.91 ( skv_154, skv_153, skv_156, skv_147, skv_148, skv_149 ) 0.64/0.91 ( skv_158, skv_159, skv_160, skv_158, skv_159, skv_160 ) 0.64/0.91 ( skv_158, skv_159, skv_160, skv_161, skv_162, skv_163 ) 0.64/0.91 ( skv_158, skv_159, skv_160, skv_165, skv_166, skv_167 ) 0.64/0.91 ( skv_161, skv_162, skv_163, skv_158, skv_159, skv_160 ) 0.64/0.91 ( skv_165, skv_166, skv_167, skv_161, skv_162, skv_163 ) 0.64/0.91 ( skv_173, skv_172, skv_175, skv_173, skv_172, skv_175 ) 0.64/0.91 ( skv_173, skv_172, skv_175, skv_177, skv_178, skv_179 ) 0.64/0.91 ( skv_173, skv_172, skv_175, skv_184, skv_183, skv_186 ) 0.64/0.91 ( skv_177, skv_178, skv_179, skv_173, skv_172, skv_175 ) 0.64/0.91 ( skv_184, skv_183, skv_186, skv_177, skv_178, skv_179 ) 0.64/0.91 ( skv_188, skv_189, skv_190, skv_188, skv_189, skv_190 ) 0.64/0.91 ( skv_188, skv_189, skv_190, skv_191, skv_193, skv_192 ) 0.64/0.91 ( skv_188, skv_189, skv_190, skv_199, skv_201, skv_200 ) 0.64/0.91 ( skv_191, skv_193, skv_192, skv_188, skv_189, skv_190 ) 0.64/0.91 ( skv_199, skv_201, skv_200, skv_191, skv_193, skv_192 ) 0.64/0.91 ( skv_207, skv_209, skv_208, skv_207, skv_209, skv_208 ) 0.64/0.91 ( skv_207, skv_209, skv_208, skv_215, skv_217, skv_216 ) 0.64/0.91 ( skv_207, skv_209, skv_208, skv_223, skv_225, skv_224 ) 0.64/0.91 ( skv_215, skv_217, skv_216, skv_207, skv_209, skv_208 ) 0.64/0.91 ( skv_223, skv_225, skv_224, skv_215, skv_217, skv_216 ) 0.64/0.91 ( skv_231, skv_233, skv_232, skv_231, skv_233, skv_232 ) 0.64/0.91 ( skv_231, skv_233, skv_232, skv_239, skv_241, skv_240 ) 0.64/0.91 ( skv_231, skv_233, skv_232, skv_247, skv_249, skv_248 ) 0.64/0.91 ( skv_239, skv_241, skv_240, skv_231, skv_233, skv_232 ) 0.64/0.91 ( skv_247, skv_249, skv_248, skv_239, skv_241, skv_240 ) 0.64/0.91 ( skv_255, skv_257, skv_256, skv_21, skv_271, skv_272 ) 0.64/0.91 ( skv_255, skv_257, skv_256, skv_255, skv_257, skv_256 ) 0.64/0.91 ( skv_255, skv_257, skv_256, skv_263, skv_265, skv_264 ) 0.64/0.91 ( skv_263, skv_265, skv_264, skv_255, skv_257, skv_256 ) 0.64/0.91 ( skv_273, skv_274, skv_275, skv_273, skv_274, (vsubst skv_21 skv_22 skv_275) ) 0.64/0.91 ( skv_273, skv_274, (vsubst skv_21 skv_22 skv_275), skv_273, skv_274, skv_275 ) 0.64/0.91 ( skv_273, skv_274, (vsubst skv_21 skv_22 skv_275), skv_273, skv_274, (vsubst skv_21 skv_22 skv_275) ) 0.64/0.91 ( skv_273, skv_274, (vsubst skv_21 skv_22 skv_275), (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_276, (vsubst skv_277 (vvar (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21)))) skv_278) ) 0.64/0.91 ( skv_277, skv_276, skv_278, skv_277, skv_276, skv_278 ) 0.64/0.91 ( skv_277, skv_276, skv_278, skv_323, skv_324, skv_325 ) 0.64/0.91 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_276, (vsubst skv_277 (vvar (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21)))) skv_278), skv_273, skv_274, skv_275 ) 0.64/0.91 ( skv_323, skv_324, skv_325, skv_277, skv_276, skv_278 ) 0.64/0.91 ) 0.64/0.91 (instantiation (forall ((Ve $$unsorted)) (visSomeExp (vsomeExp Ve)) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce skv_11)) skv_12) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce skv_18)) skv_19) ) 0.64/0.91 ( (vsubst skv_21 skv_22 skv_23) ) 0.64/0.91 ( (vapp (vabs skv_25 skv_26 skv_27) (vgetSomeExp (vreduce skv_24))) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce (vabs skv_25 skv_26 skv_27))) skv_24) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce skv_48)) skv_49) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce (vabs skv_21 skv_20 skv_23))) skv_22) ) 0.64/0.91 ( (vapp (vabs skv_44 skv_46 skv_45) (vgetSomeExp (vreduce skv_12))) ) 0.64/0.91 ( (vapp (vabs skv_21 skv_20 skv_23) (vgetSomeExp (vreduce skv_22))) ) 0.64/0.91 ( (vsubst skv_44 skv_12 skv_45) ) 0.64/0.91 ( (vsubst skv_25 skv_24 skv_27) ) 0.64/0.91 ( (vsubst skv_25 (vgetSomeExp (vreduce skv_24)) skv_27) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce skv_101)) skv_102) ) 0.64/0.91 ( (vsubst skv_106 skv_107 skv_108) ) 0.64/0.91 ( (vapp (vabs skv_110 skv_111 skv_112) (vgetSomeExp (vreduce skv_109))) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce skv_121)) skv_122) ) 0.64/0.91 ( (vsubst skv_126 skv_127 skv_128) ) 0.64/0.91 ( (vapp (vabs skv_130 skv_131 skv_132) (vgetSomeExp (vreduce skv_129))) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce skv_138)) skv_139) ) 0.64/0.91 ( (vsubst skv_143 skv_144 skv_145) ) 0.64/0.91 ( (vapp (vabs skv_147 skv_148 skv_149) (vgetSomeExp (vreduce skv_146))) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce skv_151)) skv_152) ) 0.64/0.91 ( (vsubst skv_154 skv_155 skv_156) ) 0.64/0.91 ( (vapp (vabs skv_158 skv_159 skv_160) (vgetSomeExp (vreduce skv_157))) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce skv_168)) skv_169) ) 0.64/0.91 ( (vsubst skv_173 skv_174 skv_175) ) 0.64/0.91 ( (vapp (vabs skv_177 skv_178 skv_179) (vgetSomeExp (vreduce skv_176))) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce skv_181)) skv_182) ) 0.64/0.91 ( (vsubst skv_184 skv_185 skv_186) ) 0.64/0.91 ( (vapp (vabs skv_188 skv_189 skv_190) (vgetSomeExp (vreduce skv_187))) ) 0.64/0.91 ) 0.64/0.91 (instantiation (forall ((Ve $$unsorted)) (visSomeType (vsomeType Ve)) ) 0.64/0.91 ( skv_2 ) 0.64/0.91 ( skv_6 ) 0.64/0.91 ( skv_9 ) 0.64/0.91 ( skv_10 ) 0.64/0.91 ( skv_13 ) 0.64/0.91 ( (varrow skv_13 skv_6) ) 0.64/0.91 ( skv_30 ) 0.64/0.91 ( skv_31 ) 0.64/0.91 ( skv_34 ) 0.64/0.91 ( (varrow skv_34 skv_10) ) 0.64/0.91 ( skv_38 ) 0.64/0.91 ( skv_39 ) 0.64/0.91 ( skv_42 ) 0.64/0.91 ( (varrow skv_42 skv_13) ) 0.64/0.91 ( skv_46 ) 0.64/0.91 ( skv_47 ) 0.64/0.91 ( skv_50 ) 0.64/0.91 ( (varrow skv_50 (varrow skv_13 skv_6)) ) 0.64/0.91 ( skv_53 ) 0.64/0.91 ( skv_54 ) 0.64/0.91 ( skv_58 ) 0.64/0.91 ( skv_59 ) 0.64/0.91 ( skv_63 ) 0.64/0.91 ( skv_64 ) 0.64/0.91 ( skv_283 ) 0.64/0.91 ( skv_288 ) 0.64/0.91 ( skv_293 ) 0.64/0.91 ( skv_298 ) 0.64/0.91 ( skv_303 ) 0.64/0.91 ( skv_308 ) 0.64/0.91 ( skv_313 ) 0.64/0.91 ( skv_318 ) 0.64/0.91 ) 0.64/0.91 (instantiation (let ((_let_0 (vreduce Ve1))) (forall ((Ve1 $$unsorted) (Ve2 $$unsorted)) (or (not (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= Ve1 (vabs VVx0 VVS0 VVe10))) )) (not (visSomeExp _let_0)) (= (vsomeExp (vapp (vgetSomeExp _let_0) Ve2)) (vreduce (vapp Ve1 Ve2)))) )) 0.64/0.91 ( skv_11, skv_12 ) 0.64/0.91 ( (vgetSomeExp (vreduce skv_11)), skv_12 ) 0.64/0.91 ( skv_18, skv_19 ) 0.64/0.91 ( (vgetSomeExp (vreduce skv_18)), skv_19 ) 0.64/0.91 ( (vabs skv_21 skv_20 skv_23), skv_22 ) 0.64/0.91 ( (vabs skv_25 skv_26 skv_27), skv_24 ) 0.64/0.91 ( (vabs skv_25 skv_26 skv_27), (vgetSomeExp (vreduce skv_24)) ) 0.64/0.91 ( skv_32, skv_33 ) 0.64/0.91 ( skv_40, skv_41 ) 0.64/0.91 ( (vabs skv_44 skv_46 skv_45), skv_12 ) 0.64/0.91 ( skv_48, skv_49 ) 0.64/0.91 ( (vapp (vabs skv_44 skv_46 skv_45) skv_12), skv_12 ) 0.64/0.91 ( (vapp (vabs skv_44 skv_46 skv_45) skv_12), skv_22 ) 0.64/0.91 ( (vapp (vabs skv_44 skv_46 skv_45) skv_12), skv_24 ) 0.64/0.91 ( (vabs skv_98 skv_99 skv_100), skv_97 ) 0.64/0.91 ( skv_101, skv_102 ) 0.64/0.91 ( (vabs skv_118 skv_119 skv_120), skv_117 ) 0.64/0.91 ( skv_121, skv_122 ) 0.64/0.91 ( (vabs skv_135 skv_136 skv_137), skv_134 ) 0.64/0.91 ( skv_138, skv_139 ) 0.64/0.91 ( skv_151, skv_152 ) 0.64/0.91 ( (vabs skv_154 skv_153 skv_156), skv_155 ) 0.64/0.91 ( (vabs skv_165 skv_166 skv_167), skv_164 ) 0.64/0.91 ( skv_168, skv_169 ) 0.64/0.91 ( skv_181, skv_182 ) 0.64/0.91 ( (vabs skv_184 skv_183 skv_186), skv_185 ) 0.64/0.91 ( (vabs skv_188 skv_189 skv_190), skv_187 ) 0.64/0.91 ( skv_227, skv_228 ) 0.64/0.91 ( skv_243, skv_244 ) 0.64/0.91 ( skv_259, skv_260 ) 0.64/0.91 ( (vabs skv_323 skv_324 skv_325), skv_322 ) 0.64/0.91 ) 0.64/0.91 (instantiation (forall ((Vx $$unsorted) (VS $$unsorted) (Ve $$unsorted)) (visValue (vabs Vx VS Ve)) ) 0.64/0.91 ( skv_4, skv_5, veabs ) 0.64/0.91 ( skv_7, skv_9, skv_8 ) 0.64/0.91 ( skv_7, skv_9, skv_32 ) 0.64/0.91 ( skv_7, skv_9, skv_33 ) 0.64/0.91 ( skv_15, skv_16, skv_17 ) 0.64/0.91 ( skv_21, skv_20, skv_23 ) 0.64/0.91 ( skv_21, skv_271, skv_272 ) 0.64/0.91 ( skv_25, skv_26, skv_27 ) 0.64/0.91 ( skv_28, skv_30, skv_29 ) 0.64/0.91 ( skv_36, skv_38, skv_37 ) 0.64/0.91 ( skv_44, skv_46, skv_45 ) 0.64/0.91 ( skv_65, skv_64, (vabs skv_4 skv_5 veabs) ) 0.64/0.91 ( skv_65, skv_64, skv_11 ) 0.64/0.91 ( skv_65, skv_64, skv_12 ) 0.64/0.91 ( skv_65, skv_64, (vapp skv_11 skv_12) ) 0.64/0.91 ( skv_65, skv_64, skv_40 ) 0.64/0.91 ( skv_65, skv_64, skv_41 ) 0.64/0.91 ( skv_65, skv_64, skv_48 ) 0.64/0.91 ( skv_65, skv_64, skv_49 ) 0.64/0.91 ( skv_67, skv_68, skv_69 ) 0.64/0.91 ( skv_70, skv_71, skv_72 ) 0.64/0.91 ( skv_73, skv_74, skv_75 ) 0.64/0.91 ( skv_76, skv_77, skv_78 ) 0.64/0.91 ( skv_79, skv_80, skv_81 ) 0.64/0.91 ( skv_82, skv_83, skv_84 ) 0.64/0.91 ( skv_85, skv_86, skv_87 ) 0.64/0.91 ( skv_88, skv_89, skv_90 ) 0.64/0.91 ( skv_91, skv_92, skv_93 ) 0.64/0.91 ( skv_94, skv_95, skv_96 ) 0.64/0.91 ( skv_98, skv_99, skv_100 ) 0.64/0.91 ( skv_106, skv_105, skv_108 ) 0.64/0.91 ( skv_110, skv_111, skv_112 ) 0.64/0.91 ( skv_114, skv_115, skv_116 ) 0.64/0.91 ( skv_118, skv_119, skv_120 ) 0.64/0.91 ( skv_126, skv_125, skv_128 ) 0.64/0.91 ( skv_130, skv_131, skv_132 ) 0.64/0.91 ( skv_135, skv_136, skv_137 ) 0.64/0.91 ( skv_143, skv_142, skv_145 ) 0.64/0.91 ( skv_147, skv_148, skv_149 ) 0.64/0.91 ( skv_154, skv_153, skv_156 ) 0.64/0.91 ( skv_158, skv_159, skv_160 ) 0.64/0.91 ( skv_161, skv_162, skv_163 ) 0.64/0.91 ( skv_165, skv_166, skv_167 ) 0.64/0.91 ( skv_173, skv_172, skv_175 ) 0.64/0.91 ( skv_177, skv_178, skv_179 ) 0.64/0.91 ( skv_184, skv_183, skv_186 ) 0.64/0.91 ( skv_188, skv_189, skv_190 ) 0.64/0.91 ( skv_191, skv_193, skv_192 ) 0.64/0.91 ( skv_199, skv_201, skv_200 ) 0.64/0.91 ( skv_207, skv_209, skv_208 ) 0.64/0.91 ( skv_215, skv_217, skv_216 ) 0.64/0.91 ( skv_223, skv_225, skv_224 ) 0.64/0.91 ( skv_231, skv_233, skv_232 ) 0.64/0.91 ( skv_239, skv_241, skv_240 ) 0.64/0.91 ( skv_247, skv_249, skv_248 ) 0.64/0.91 ( skv_255, skv_257, skv_256 ) 0.64/0.91 ( skv_263, skv_265, skv_264 ) 0.64/0.91 ( skv_273, skv_274, skv_275 ) 0.64/0.91 ( skv_273, skv_274, (vsubst skv_21 skv_22 skv_275) ) 0.64/0.91 ( skv_277, skv_276, skv_278 ) 0.64/0.91 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_276, (vsubst skv_277 (vvar (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21)))) skv_278) ) 0.64/0.91 ( skv_323, skv_324, skv_325 ) 0.64/0.91 ) 0.64/0.91 (instantiation (let ((_let_0 (vabs Vx VS Ve1))) (let ((_let_1 (vreduce Ve2))) (forall ((Ve2 $$unsorted) (Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted)) (or (not (visSomeExp _let_1)) (= (vreduce (vapp _let_0 Ve2)) (vsomeExp (vapp _let_0 (vgetSomeExp _let_1))))) ))) 0.64/0.91 ( skv_12, skv_15, skv_16, skv_17 ) 0.64/0.91 ( skv_12, skv_44, skv_46, skv_45 ) 0.64/0.91 ( skv_12, skv_91, skv_92, skv_93 ) 0.64/0.91 ( skv_19, skv_85, skv_86, skv_87 ) 0.64/0.91 ( skv_19, skv_88, skv_89, skv_90 ) 0.64/0.91 ( skv_22, skv_21, skv_20, skv_23 ) 0.64/0.91 ( skv_22, skv_82, skv_83, skv_84 ) 0.64/0.91 ( skv_24, skv_25, skv_26, skv_27 ) 0.64/0.91 ( skv_24, skv_79, skv_80, skv_81 ) 0.64/0.91 ( (vgetSomeExp (vreduce skv_24)), skv_25, skv_26, skv_27 ) 0.64/0.91 ( skv_33, skv_76, skv_77, skv_78 ) 0.64/0.91 ( skv_41, skv_73, skv_74, skv_75 ) 0.64/0.91 ( skv_41, skv_255, skv_257, skv_256 ) 0.64/0.91 ( (vapp skv_40 skv_41), skv_44, skv_46, skv_45 ) 0.64/0.91 ( skv_49, skv_70, skv_71, skv_72 ) 0.64/0.91 ( skv_97, skv_98, skv_99, skv_100 ) 0.64/0.91 ( skv_109, skv_110, skv_111, skv_112 ) 0.64/0.91 ( skv_117, skv_118, skv_119, skv_120 ) 0.64/0.91 ( skv_129, skv_130, skv_131, skv_132 ) 0.64/0.91 ( skv_134, skv_135, skv_136, skv_137 ) 0.64/0.91 ( skv_146, skv_147, skv_148, skv_149 ) 0.64/0.91 ( skv_155, skv_154, skv_153, skv_156 ) 0.64/0.91 ( skv_157, skv_158, skv_159, skv_160 ) 0.64/0.91 ( skv_176, skv_177, skv_178, skv_179 ) 0.64/0.91 ( skv_185, skv_184, skv_183, skv_186 ) 0.64/0.91 ( skv_187, skv_188, skv_189, skv_190 ) 0.64/0.91 ( skv_322, skv_323, skv_324, skv_325 ) 0.64/0.91 ) 0.64/0.91 (instantiation (forall ((VVar0 $$unsorted) (VVar1 $$unsorted)) (or (not (= (vvar VVar0) (vvar VVar1))) (= VVar0 VVar1)) ) 0.64/0.91 ( skv_14, skv_14 ) 0.64/0.91 ( skv_14, skv_35 ) 0.64/0.91 ( skv_14, skv_43 ) 0.64/0.91 ( skv_14, skv_51 ) 0.64/0.91 ( skv_21, skv_14 ) 0.64/0.91 ( skv_35, skv_14 ) 0.64/0.91 ( skv_35, skv_21 ) 0.64/0.91 ( skv_35, skv_35 ) 0.64/0.91 ( skv_35, skv_43 ) 0.64/0.91 ( skv_43, skv_14 ) 0.64/0.91 ( skv_43, skv_35 ) 0.64/0.91 ( skv_43, skv_43 ) 0.64/0.91 ( skv_43, skv_113 ) 0.64/0.91 ( skv_51, skv_14 ) 0.64/0.91 ( skv_51, skv_35 ) 0.64/0.91 ( skv_51, skv_51 ) 0.64/0.91 ( skv_113, skv_43 ) 0.64/0.91 ( skv_113, skv_133 ) 0.64/0.91 ( skv_133, skv_43 ) 0.64/0.91 ( skv_150, skv_150 ) 0.64/0.91 ( skv_150, skv_180 ) 0.64/0.91 ( skv_180, skv_150 ) 0.64/0.91 ( skv_180, skv_198 ) 0.64/0.91 ( skv_198, skv_150 ) 0.64/0.91 ( skv_206, skv_206 ) 0.64/0.91 ( skv_206, skv_214 ) 0.64/0.91 ( skv_214, skv_206 ) 0.64/0.91 ( skv_214, skv_222 ) 0.64/0.91 ( skv_222, skv_206 ) 0.64/0.91 ( skv_230, skv_230 ) 0.64/0.91 ( skv_230, skv_238 ) 0.64/0.91 ( skv_238, skv_230 ) 0.64/0.91 ( skv_238, skv_246 ) 0.64/0.91 ( skv_246, skv_230 ) 0.64/0.91 ( skv_254, skv_254 ) 0.64/0.91 ( skv_254, skv_262 ) 0.64/0.91 ( skv_262, skv_254 ) 0.64/0.91 ( skv_262, skv_270 ) 0.64/0.91 ( skv_270, skv_254 ) 0.64/0.91 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))) ) 0.64/0.91 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_281 ) 0.64/0.91 ( skv_281, (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))) ) 0.64/0.91 ) 0.64/0.91 (instantiation (let ((_let_0 (vvar Vy))) (forall ((VVar0 $$unsorted) (VExp0 $$unsorted) (Vy $$unsorted)) (or (= VVar0 Vy) (= _let_0 (vsubst VVar0 VExp0 _let_0))) )) 0.64/0.91 ( skv_21, skv_22, skv_281 ) 0.64/0.91 ( skv_44, skv_12, skv_198 ) 0.64/0.91 ) 0.64/0.91 (instantiation (forall ((VC $$unsorted) (VVar0 $$unsorted) (VTy $$unsorted)) (= (vsomeType VTy) (vlookup VVar0 (vbind VVar0 VTy VC))) ) 0.64/0.91 ( skv_3, skv_1, skv_2 ) 0.64/0.91 ( skv_3, skv_7, skv_9 ) 0.64/0.91 ( skv_3, skv_28, skv_30 ) 0.64/0.91 ( skv_3, skv_36, skv_38 ) 0.64/0.91 ( skv_3, skv_44, skv_46 ) 0.64/0.91 ( skv_3, skv_231, skv_233 ) 0.64/0.91 ( skv_3, skv_239, skv_241 ) 0.64/0.91 ( skv_3, skv_247, skv_249 ) 0.64/0.91 ( skv_3, skv_255, skv_257 ) 0.64/0.91 ( skv_3, skv_263, skv_265 ) 0.64/0.91 ( (vbind skv_1 skv_2 skv_3), skv_7, skv_9 ) 0.64/0.91 ( (vbind skv_7 skv_9 skv_3), skv_1, skv_2 ) 0.64/0.91 ( (vbind skv_7 skv_9 skv_3), skv_28, skv_30 ) 0.64/0.91 ( (vbind skv_7 skv_9 skv_3), skv_215, skv_217 ) 0.64/0.91 ( (vbind skv_7 skv_9 skv_3), skv_223, skv_225 ) 0.64/0.91 ( (vbind skv_7 skv_9 (vbind skv_1 skv_2 skv_3)), skv_28, skv_30 ) 0.64/0.91 ( (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3)), skv_207, skv_209 ) 0.64/0.91 ( (vbind skv_36 skv_38 skv_3), skv_199, skv_201 ) 0.64/0.91 ( (vbind skv_44 skv_46 skv_3), skv_191, skv_193 ) 0.64/0.91 ( skv_52, skv_14, skv_53 ) 0.64/0.91 ( skv_56, skv_55, skv_54 ) 0.64/0.91 ( skv_57, skv_1, skv_58 ) 0.64/0.91 ( skv_61, skv_60, skv_59 ) 0.64/0.91 ( skv_62, skv_1, skv_63 ) 0.64/0.91 ( skv_66, skv_1, skv_2 ) 0.64/0.91 ( skv_66, skv_7, skv_9 ) 0.64/0.91 ( skv_66, skv_36, skv_38 ) 0.64/0.91 ( skv_66, skv_44, skv_46 ) 0.64/0.91 ( skv_66, skv_65, skv_64 ) 0.64/0.91 ( (vbind skv_65 skv_64 skv_66), skv_1, skv_2 ) 0.64/0.91 ( (vbind skv_65 skv_64 skv_66), skv_7, skv_9 ) 0.64/0.91 ( (vbind skv_65 skv_64 skv_66), skv_36, skv_38 ) 0.64/0.91 ( (vbind skv_65 skv_64 skv_66), skv_44, skv_46 ) 0.64/0.91 ( (vbind skv_7 skv_9 skv_66), skv_65, skv_64 ) 0.64/0.91 ( (vbind skv_28 skv_30 skv_3), skv_7, skv_9 ) 0.64/0.91 ( (vbind skv_36 skv_38 skv_66), skv_65, skv_64 ) 0.64/0.91 ( (vbind skv_44 skv_46 skv_66), skv_65, skv_64 ) 0.64/0.91 ( (vbind skv_1 skv_2 skv_66), skv_65, skv_64 ) 0.64/0.91 ( skv_282, skv_51, skv_283 ) 0.64/0.91 ( skv_286, skv_285, skv_284 ) 0.64/0.91 ( skv_287, skv_43, skv_288 ) 0.64/0.91 ( skv_291, skv_290, skv_289 ) 0.64/0.91 ( skv_292, skv_35, skv_293 ) 0.64/0.91 ( skv_296, skv_295, skv_294 ) 0.64/0.91 ( skv_297, skv_14, skv_298 ) 0.64/0.91 ( skv_301, skv_300, skv_299 ) 0.64/0.91 ( skv_302, skv_14, skv_303 ) 0.64/0.91 ( skv_306, skv_305, skv_304 ) 0.64/0.91 ( skv_307, skv_7, skv_308 ) 0.64/0.91 ( skv_311, skv_310, skv_309 ) 0.64/0.91 ( skv_312, skv_1, skv_313 ) 0.64/0.91 ( skv_316, skv_315, skv_314 ) 0.64/0.91 ( skv_317, skv_1, skv_318 ) 0.64/0.91 ( skv_321, skv_320, skv_319 ) 0.64/0.91 ) 0.64/0.91 (instantiation (forall ((VExp0 $$unsorted)) (not (= vnoExp (vsomeExp VExp0))) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce skv_11)) skv_12) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce skv_18)) skv_19) ) 0.64/0.91 ( (vsubst skv_21 skv_22 skv_23) ) 0.64/0.91 ( (vapp (vabs skv_25 skv_26 skv_27) (vgetSomeExp (vreduce skv_24))) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce (vabs skv_25 skv_26 skv_27))) skv_24) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce skv_48)) skv_49) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce (vabs skv_21 skv_20 skv_23))) skv_22) ) 0.64/0.91 ( (vapp (vabs skv_44 skv_46 skv_45) (vgetSomeExp (vreduce skv_12))) ) 0.64/0.91 ( (vapp (vabs skv_21 skv_20 skv_23) (vgetSomeExp (vreduce skv_22))) ) 0.64/0.91 ( (vsubst skv_44 skv_12 skv_45) ) 0.64/0.91 ( (vsubst skv_25 skv_24 skv_27) ) 0.64/0.91 ( (vsubst skv_25 (vgetSomeExp (vreduce skv_24)) skv_27) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce skv_101)) skv_102) ) 0.64/0.91 ( (vsubst skv_106 skv_107 skv_108) ) 0.64/0.91 ( (vapp (vabs skv_110 skv_111 skv_112) (vgetSomeExp (vreduce skv_109))) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce skv_121)) skv_122) ) 0.64/0.91 ( (vsubst skv_126 skv_127 skv_128) ) 0.64/0.91 ( (vapp (vabs skv_130 skv_131 skv_132) (vgetSomeExp (vreduce skv_129))) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce skv_138)) skv_139) ) 0.64/0.91 ( (vsubst skv_143 skv_144 skv_145) ) 0.64/0.91 ( (vapp (vabs skv_147 skv_148 skv_149) (vgetSomeExp (vreduce skv_146))) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce skv_151)) skv_152) ) 0.64/0.91 ( (vsubst skv_154 skv_155 skv_156) ) 0.64/0.91 ( (vapp (vabs skv_158 skv_159 skv_160) (vgetSomeExp (vreduce skv_157))) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce skv_168)) skv_169) ) 0.64/0.91 ( (vsubst skv_173 skv_174 skv_175) ) 0.64/0.91 ( (vapp (vabs skv_177 skv_178 skv_179) (vgetSomeExp (vreduce skv_176))) ) 0.64/0.91 ( (vapp (vgetSomeExp (vreduce skv_181)) skv_182) ) 0.64/0.91 ( (vsubst skv_184 skv_185 skv_186) ) 0.64/0.91 ( (vapp (vabs skv_188 skv_189 skv_190) (vgetSomeExp (vreduce skv_187))) ) 0.64/0.91 ) 0.64/0.91 (instantiation (forall ((VVar0 $$unsorted) (VTyp0 $$unsorted) (VExp0 $$unsorted) (VExp1 $$unsorted) (VExp2 $$unsorted)) (not (= (vabs VVar0 VTyp0 VExp0) (vapp VExp1 VExp2))) ) 0.64/0.91 ( skv_4, skv_5, veabs, skv_11, skv_12 ) 0.64/0.91 ( skv_4, skv_5, veabs, (vgetSomeExp (vreduce skv_11)), skv_12 ) 0.70/0.91 ( skv_4, skv_5, veabs, (vgetSomeExp (vreduce skv_18)), skv_19 ) 0.70/0.91 ( skv_7, skv_9, skv_32, (vgetSomeExp (vreduce skv_48)), skv_49 ) 0.70/0.91 ( skv_7, skv_9, skv_33, (vgetSomeExp (vreduce (vabs skv_21 skv_20 skv_23))), skv_22 ) 0.70/0.91 ( skv_15, skv_16, skv_17, skv_11, skv_12 ) 0.70/0.91 ( skv_15, skv_16, skv_17, (vgetSomeExp (vreduce skv_11)), skv_12 ) 0.70/0.91 ( skv_21, skv_20, skv_23, skv_11, skv_12 ) 0.70/0.91 ( skv_21, skv_20, skv_23, (vgetSomeExp (vreduce skv_18)), skv_19 ) 0.70/0.91 ( skv_21, skv_271, skv_272, skv_203, skv_204 ) 0.70/0.91 ( skv_25, skv_26, skv_27, skv_18, skv_19 ) 0.70/0.91 ( skv_25, skv_26, skv_27, (vabs skv_21 skv_20 skv_23), skv_22 ) 0.70/0.91 ( skv_28, skv_30, skv_29, skv_18, skv_19 ) 0.70/0.91 ( skv_28, skv_30, skv_29, (vabs skv_21 skv_20 skv_23), skv_22 ) 0.70/0.91 ( skv_36, skv_38, skv_37, (vabs skv_25 skv_26 skv_27), skv_24 ) 0.70/0.91 ( skv_36, skv_38, skv_37, (vabs skv_25 skv_26 skv_27), (vgetSomeExp (vreduce skv_24)) ) 0.70/0.91 ( skv_44, skv_46, skv_45, (vabs skv_25 skv_26 skv_27), skv_24 ) 0.70/0.91 ( skv_44, skv_46, skv_45, (vabs skv_25 skv_26 skv_27), (vgetSomeExp (vreduce skv_24)) ) 0.70/0.91 ( skv_65, skv_64, (vabs skv_4 skv_5 veabs), skv_32, skv_33 ) 0.70/0.91 ( skv_65, skv_64, skv_11, skv_40, skv_41 ) 0.70/0.91 ( skv_65, skv_64, skv_12, skv_48, skv_49 ) 0.70/0.91 ( skv_65, skv_64, (vapp skv_11 skv_12), (vgetSomeExp (vreduce (vabs skv_25 skv_26 skv_27))), skv_24 ) 0.70/0.91 ( skv_65, skv_64, skv_40, (vabs skv_44 skv_46 skv_45), (vgetSomeExp (vreduce skv_12)) ) 0.70/0.91 ( skv_65, skv_64, skv_41, (vabs skv_44 skv_46 skv_45), skv_12 ) 0.70/0.91 ( skv_65, skv_64, skv_48, (vabs skv_21 skv_20 skv_23), (vgetSomeExp (vreduce skv_22)) ) 0.70/0.91 ( skv_65, skv_64, skv_49, (vabs skv_98 skv_99 skv_100), skv_97 ) 0.70/0.91 ( skv_67, skv_68, skv_69, (vgetSomeExp (vreduce skv_101)), skv_102 ) 0.70/0.91 ( skv_70, skv_71, skv_72, skv_101, skv_102 ) 0.70/0.91 ( skv_73, skv_74, skv_75, skv_104, skv_103 ) 0.70/0.91 ( skv_76, skv_77, skv_78, (vabs skv_106 skv_105 skv_108), skv_107 ) 0.70/0.91 ( skv_79, skv_80, skv_81, (vabs skv_110 skv_111 skv_112), skv_109 ) 0.70/0.91 ( skv_82, skv_83, skv_84, (vabs skv_110 skv_111 skv_112), (vgetSomeExp (vreduce skv_109)) ) 0.70/0.91 ( skv_85, skv_86, skv_87, (vabs skv_118 skv_119 skv_120), skv_117 ) 0.70/0.91 ( skv_88, skv_89, skv_90, (vgetSomeExp (vreduce skv_121)), skv_122 ) 0.70/0.91 ( skv_91, skv_92, skv_93, skv_121, skv_122 ) 0.70/0.91 ( skv_94, skv_95, skv_96, skv_124, skv_123 ) 0.70/0.91 ( skv_98, skv_99, skv_100, (vabs skv_126 skv_125 skv_128), skv_127 ) 0.70/0.91 ( skv_106, skv_105, skv_108, (vabs skv_130 skv_131 skv_132), skv_129 ) 0.70/0.91 ( skv_110, skv_111, skv_112, (vabs skv_130 skv_131 skv_132), (vgetSomeExp (vreduce skv_129)) ) 0.70/0.91 ( skv_114, skv_115, skv_116, (vabs skv_135 skv_136 skv_137), skv_134 ) 0.70/0.91 ( skv_118, skv_119, skv_120, (vgetSomeExp (vreduce skv_138)), skv_139 ) 0.70/0.91 ( skv_126, skv_125, skv_128, skv_138, skv_139 ) 0.70/0.91 ( skv_130, skv_131, skv_132, skv_141, skv_140 ) 0.70/0.91 ( skv_135, skv_136, skv_137, (vabs skv_143 skv_142 skv_145), skv_144 ) 0.70/0.91 ( skv_143, skv_142, skv_145, (vabs skv_147 skv_148 skv_149), skv_146 ) 0.70/0.91 ( skv_147, skv_148, skv_149, (vabs skv_147 skv_148 skv_149), (vgetSomeExp (vreduce skv_146)) ) 0.70/0.91 ( skv_154, skv_153, skv_156, (vgetSomeExp (vreduce skv_151)), skv_152 ) 0.70/0.91 ( skv_158, skv_159, skv_160, skv_151, skv_152 ) 0.70/0.91 ( skv_161, skv_162, skv_163, (vabs skv_154 skv_153 skv_156), skv_155 ) 0.70/0.91 ( skv_165, skv_166, skv_167, (vabs skv_158 skv_159 skv_160), skv_157 ) 0.70/0.91 ( skv_173, skv_172, skv_175, (vabs skv_158 skv_159 skv_160), (vgetSomeExp (vreduce skv_157)) ) 0.70/0.91 ( skv_177, skv_178, skv_179, (vabs skv_165 skv_166 skv_167), skv_164 ) 0.70/0.91 ( skv_184, skv_183, skv_186, (vgetSomeExp (vreduce skv_168)), skv_169 ) 0.70/0.91 ( skv_188, skv_189, skv_190, skv_168, skv_169 ) 0.70/0.91 ( skv_191, skv_193, skv_192, skv_171, skv_170 ) 0.70/0.91 ( skv_199, skv_201, skv_200, (vabs skv_173 skv_172 skv_175), skv_174 ) 0.70/0.91 ( skv_207, skv_209, skv_208, (vabs skv_177 skv_178 skv_179), skv_176 ) 0.70/0.91 ( skv_215, skv_217, skv_216, (vabs skv_177 skv_178 skv_179), (vgetSomeExp (vreduce skv_176)) ) 0.70/0.91 ( skv_223, skv_225, skv_224, (vgetSomeExp (vreduce skv_181)), skv_182 ) 0.70/0.91 ( skv_231, skv_233, skv_232, skv_181, skv_182 ) 0.70/0.91 ( skv_239, skv_241, skv_240, (vabs skv_184 skv_183 skv_186), skv_185 ) 0.70/0.91 ( skv_247, skv_249, skv_248, (vabs skv_188 skv_189 skv_190), skv_187 ) 0.70/0.91 ( skv_255, skv_257, skv_256, (vabs skv_188 skv_189 skv_190), (vgetSomeExp (vreduce skv_187)) ) 0.70/0.91 ( skv_263, skv_265, skv_264, skv_195, skv_196 ) 0.70/0.91 ( skv_273, skv_274, skv_275, skv_219, skv_220 ) 0.70/0.91 ( skv_273, skv_274, (vsubst skv_21 skv_22 skv_275), skv_211, skv_212 ) 0.70/0.91 ( skv_277, skv_276, skv_278, skv_235, skv_236 ) 0.70/0.91 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_276, (vsubst skv_277 (vvar (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21)))) skv_278), skv_227, skv_228 ) 0.70/0.91 ( skv_323, skv_324, skv_325, skv_243, skv_244 ) 0.70/0.91 ) 0.70/0.91 (instantiation (forall ((Ve $$unsorted)) (= Ve (vgetSomeExp (vsomeExp Ve))) ) 0.70/0.91 ( (vapp (vgetSomeExp (vreduce skv_11)) skv_12) ) 0.70/0.91 ( (vapp (vgetSomeExp (vreduce skv_18)) skv_19) ) 0.70/0.91 ( (vsubst skv_21 skv_22 skv_23) ) 0.70/0.91 ( (vapp (vabs skv_25 skv_26 skv_27) (vgetSomeExp (vreduce skv_24))) ) 0.70/0.91 ( (vapp (vgetSomeExp (vreduce (vabs skv_25 skv_26 skv_27))) skv_24) ) 0.70/0.91 ( (vapp (vgetSomeExp (vreduce skv_48)) skv_49) ) 0.70/0.91 ( (vapp (vgetSomeExp (vreduce (vabs skv_21 skv_20 skv_23))) skv_22) ) 0.70/0.91 ( (vapp (vabs skv_44 skv_46 skv_45) (vgetSomeExp (vreduce skv_12))) ) 0.70/0.91 ( (vapp (vabs skv_21 skv_20 skv_23) (vgetSomeExp (vreduce skv_22))) ) 0.70/0.92 ( (vsubst skv_44 skv_12 skv_45) ) 0.70/0.92 ( (vsubst skv_25 skv_24 skv_27) ) 0.70/0.92 ( (vsubst skv_25 (vgetSomeExp (vreduce skv_24)) skv_27) ) 0.70/0.92 ( (vapp (vgetSomeExp (vreduce skv_101)) skv_102) ) 0.70/0.92 ( (vsubst skv_106 skv_107 skv_108) ) 0.70/0.92 ( (vapp (vabs skv_110 skv_111 skv_112) (vgetSomeExp (vreduce skv_109))) ) 0.70/0.92 ( (vapp (vgetSomeExp (vreduce skv_121)) skv_122) ) 0.70/0.92 ( (vsubst skv_126 skv_127 skv_128) ) 0.70/0.92 ( (vapp (vabs skv_130 skv_131 skv_132) (vgetSomeExp (vreduce skv_129))) ) 0.70/0.92 ( (vapp (vgetSomeExp (vreduce skv_138)) skv_139) ) 0.70/0.92 ( (vsubst skv_143 skv_144 skv_145) ) 0.70/0.92 ( (vapp (vabs skv_147 skv_148 skv_149) (vgetSomeExp (vreduce skv_146))) ) 0.70/0.92 ( (vapp (vgetSomeExp (vreduce skv_151)) skv_152) ) 0.70/0.92 ( (vsubst skv_154 skv_155 skv_156) ) 0.70/0.92 ( (vapp (vabs skv_158 skv_159 skv_160) (vgetSomeExp (vreduce skv_157))) ) 0.70/0.92 ( (vapp (vgetSomeExp (vreduce skv_168)) skv_169) ) 0.70/0.92 ( (vsubst skv_173 skv_174 skv_175) ) 0.70/0.92 ( (vapp (vabs skv_177 skv_178 skv_179) (vgetSomeExp (vreduce skv_176))) ) 0.70/0.92 ( (vapp (vgetSomeExp (vreduce skv_181)) skv_182) ) 0.70/0.92 ( (vsubst skv_184 skv_185 skv_186) ) 0.70/0.92 ( (vapp (vabs skv_188 skv_189 skv_190) (vgetSomeExp (vreduce skv_187))) ) 0.70/0.92 ) 0.70/0.92 (instantiation (forall ((Vy $$unsorted) (VT $$unsorted) (Vx $$unsorted) (Ve $$unsorted) (Ve1 $$unsorted)) (or (visFreeVar Vy Ve) (= Vy Vx) (= (vabs Vy VT (vsubst Vx Ve Ve1)) (vsubst Vx Ve (vabs Vy VT Ve1)))) ) 0.70/0.92 ( skv_21, skv_20, skv_21, skv_22, skv_23 ) 0.70/0.92 ( skv_21, skv_20, skv_21, skv_22, (let ((_let_0 (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))))) (vabs _let_0 skv_276 (vsubst skv_277 (vvar _let_0) skv_278))) ) 0.70/0.92 ( skv_21, skv_271, skv_21, skv_22, skv_272 ) 0.70/0.92 ( skv_191, skv_193, skv_44, skv_12, skv_192 ) 0.70/0.92 ( skv_273, skv_274, skv_21, skv_22, skv_275 ) 0.70/0.92 ( skv_273, skv_274, skv_21, skv_22, (vsubst skv_21 skv_22 skv_275) ) 0.70/0.92 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_276, skv_21, skv_22, (vsubst skv_277 (vvar (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21)))) skv_278) ) 0.70/0.92 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_276, skv_277, (vvar (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21)))), skv_278 ) 0.70/0.92 ) 0.70/0.92 (instantiation (forall ((VC $$unsorted) (Vx $$unsorted) (Ve $$unsorted) (VS $$unsorted) (VT $$unsorted)) (or (not (vtcheck (vbind Vx VS VC) Ve VT)) (vtcheck VC (vabs Vx VS Ve) (varrow VS VT))) ) 0.70/0.92 ( skv_3, skv_7, skv_8, skv_9, skv_10 ) 0.70/0.92 ( skv_3, skv_7, (vabs skv_28 skv_30 skv_29), skv_9, (varrow skv_30 skv_31) ) 0.70/0.92 ( skv_3, skv_7, skv_32, skv_9, (varrow skv_34 skv_10) ) 0.70/0.92 ( skv_3, skv_7, skv_33, skv_9, skv_34 ) 0.70/0.92 ( skv_3, skv_7, (vapp skv_32 skv_33), skv_9, skv_10 ) 0.70/0.92 ( skv_3, skv_7, skv_219, skv_9, (varrow skv_221 skv_34) ) 0.70/0.92 ( skv_3, skv_7, skv_220, skv_9, skv_221 ) 0.70/0.92 ( skv_3, skv_7, skv_227, skv_9, (varrow skv_229 (varrow skv_34 skv_10)) ) 0.70/0.92 ( skv_3, skv_7, skv_228, skv_9, skv_229 ) 0.70/0.92 ( skv_3, skv_28, skv_29, skv_30, skv_31 ) 0.70/0.92 ( skv_3, skv_36, skv_37, skv_38, skv_39 ) 0.70/0.92 ( skv_3, skv_36, skv_203, skv_38, (varrow skv_205 skv_39) ) 0.70/0.92 ( skv_3, skv_36, skv_204, skv_38, skv_205 ) 0.70/0.92 ( skv_3, skv_44, skv_45, skv_46, skv_47 ) 0.70/0.92 ( skv_3, skv_44, skv_195, skv_46, (varrow skv_197 skv_47) ) 0.70/0.92 ( skv_3, skv_44, skv_196, skv_46, skv_197 ) 0.70/0.92 ( skv_3, skv_191, skv_192, skv_193, skv_194 ) 0.70/0.92 ( skv_3, skv_199, skv_200, skv_201, skv_202 ) 0.70/0.92 ( skv_3, skv_215, skv_216, skv_217, skv_218 ) 0.70/0.92 ( skv_3, skv_223, skv_224, skv_225, skv_226 ) 0.70/0.92 ( skv_3, skv_231, skv_232, skv_233, skv_234 ) 0.70/0.92 ( skv_3, skv_239, skv_240, skv_241, skv_242 ) 0.70/0.92 ( skv_3, skv_247, skv_248, skv_249, skv_250 ) 0.70/0.92 ( skv_3, skv_255, skv_256, skv_257, skv_258 ) 0.70/0.92 ( skv_3, skv_263, skv_264, skv_265, skv_266 ) 0.70/0.92 ( (vbind skv_1 skv_2 skv_3), skv_4, veabs, skv_5, skv_10 ) 0.70/0.92 ( (vbind skv_1 skv_2 skv_3), skv_7, skv_8, skv_9, skv_10 ) 0.70/0.92 ( (vbind skv_1 skv_2 skv_3), skv_7, (vvar skv_35), skv_9, skv_10 ) 0.70/0.92 ( (vbind skv_7 skv_9 skv_3), skv_28, skv_29, skv_30, skv_31 ) 0.70/0.92 ( (vbind skv_7 skv_9 skv_3), skv_28, skv_211, skv_30, (varrow skv_213 skv_31) ) 0.70/0.92 ( (vbind skv_7 skv_9 skv_3), skv_28, skv_212, skv_30, skv_213 ) 0.70/0.92 ( (vbind skv_7 skv_9 skv_3), skv_207, skv_208, skv_209, skv_210 ) 0.70/0.92 ( (vbind skv_7 skv_9 skv_3), skv_215, skv_216, skv_217, skv_218 ) 0.70/0.92 ( (vbind skv_7 skv_9 skv_3), skv_223, skv_224, skv_225, skv_226 ) 0.70/0.92 ( (vbind skv_7 skv_9 (vbind skv_1 skv_2 skv_3)), skv_28, skv_29, skv_30, skv_31 ) 0.70/0.92 ( (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3)), skv_207, skv_208, skv_209, skv_210 ) 0.70/0.92 ( (vbind skv_36 skv_38 skv_3), skv_199, skv_200, skv_201, skv_202 ) 0.70/0.92 ( (vbind skv_44 skv_46 skv_3), skv_191, skv_192, skv_193, skv_194 ) 0.70/0.92 ( skv_52, skv_14, (vabs skv_4 skv_5 veabs), skv_53, skv_6 ) 0.70/0.92 ( skv_52, skv_14, (vabs skv_7 skv_9 skv_8), skv_53, (varrow skv_9 skv_10) ) 0.70/0.92 ( skv_52, skv_14, skv_12, skv_53, skv_13 ) 0.70/0.92 ( skv_52, skv_14, (vapp skv_11 skv_12), skv_53, skv_6 ) 0.70/0.92 ( skv_52, skv_14, (vabs skv_36 skv_38 skv_37), skv_53, (varrow skv_38 skv_39) ) 0.70/0.92 ( skv_52, skv_14, skv_40, skv_53, (varrow skv_42 skv_13) ) 0.70/0.92 ( skv_52, skv_14, skv_41, skv_53, skv_42 ) 0.70/0.92 ( skv_52, skv_14, (vapp skv_40 skv_41), skv_53, skv_13 ) 0.70/0.92 ( skv_52, skv_14, (vabs skv_44 skv_46 skv_45), skv_53, (varrow skv_46 skv_47) ) 0.70/0.92 ( skv_52, skv_14, skv_48, skv_53, (varrow skv_50 (varrow skv_13 skv_6)) ) 0.70/0.92 ( skv_52, skv_14, skv_49, skv_53, skv_50 ) 0.70/0.92 ( skv_52, skv_14, (vapp skv_48 skv_49), skv_53, (varrow skv_13 skv_6) ) 0.70/0.92 ( skv_52, skv_14, (vabs skv_7 skv_9 skv_32), skv_53, (varrow skv_9 (varrow skv_34 skv_10)) ) 0.70/0.92 ( skv_52, skv_14, (vabs skv_7 skv_9 skv_33), skv_53, (varrow skv_9 skv_34) ) 0.70/0.92 ( skv_52, skv_14, skv_235, skv_53, (varrow skv_237 skv_50) ) 0.70/0.92 ( skv_52, skv_14, skv_236, skv_53, skv_237 ) 0.70/0.92 ( skv_52, skv_14, skv_243, skv_53, (varrow skv_245 (varrow skv_50 (varrow skv_13 skv_6))) ) 0.70/0.92 ( skv_52, skv_14, skv_244, skv_53, skv_245 ) 0.70/0.92 ( skv_52, skv_14, skv_251, skv_53, (varrow skv_253 skv_42) ) 0.70/0.92 ( skv_52, skv_14, skv_252, skv_53, skv_253 ) 0.70/0.92 ( skv_52, skv_14, skv_259, skv_53, (varrow skv_261 (varrow skv_42 skv_13)) ) 0.70/0.92 ( skv_52, skv_14, skv_260, skv_53, skv_261 ) 0.70/0.92 ( skv_52, skv_14, skv_267, skv_53, (varrow skv_269 skv_6) ) 0.70/0.92 ( skv_52, skv_14, skv_268, skv_53, skv_269 ) 0.70/0.92 ( skv_61, skv_4, veabs, skv_5, skv_10 ) 0.70/0.92 ( (vbind skv_60 skv_59 skv_61), skv_4, veabs, skv_5, skv_10 ) 0.70/0.92 ( skv_66, skv_4, veabs, skv_5, skv_10 ) 0.70/0.92 ( skv_66, skv_65, (vabs skv_4 skv_5 veabs), skv_64, skv_6 ) 0.70/0.92 ( skv_66, skv_65, (vabs skv_4 skv_5 veabs), skv_64, (varrow skv_9 skv_10) ) 0.70/0.92 ( skv_66, skv_65, (vabs skv_7 skv_9 skv_8), skv_64, (varrow skv_9 skv_10) ) 0.70/0.92 ( skv_66, skv_65, skv_11, skv_64, (varrow skv_13 skv_6) ) 0.70/0.92 ( skv_66, skv_65, skv_12, skv_64, skv_13 ) 0.70/0.92 ( skv_66, skv_65, (vapp skv_11 skv_12), skv_64, skv_6 ) 0.70/0.92 ( skv_66, skv_65, (vapp skv_11 skv_12), skv_64, (varrow skv_9 skv_10) ) 0.70/0.92 ( skv_66, skv_65, skv_40, skv_64, (varrow skv_42 skv_13) ) 0.70/0.92 ( skv_66, skv_65, skv_41, skv_64, skv_42 ) 0.70/0.92 ( skv_66, skv_65, skv_48, skv_64, (varrow skv_50 (varrow skv_13 skv_6)) ) 0.70/0.92 ( skv_66, skv_65, skv_49, skv_64, skv_50 ) 0.70/0.92 ( skv_66, skv_231, skv_232, skv_233, skv_234 ) 0.70/0.92 ( skv_66, skv_239, skv_240, skv_241, skv_242 ) 0.70/0.92 ( skv_66, skv_247, skv_248, skv_249, skv_250 ) 0.70/0.92 ( skv_66, skv_255, skv_256, skv_257, skv_258 ) 0.70/0.92 ( skv_66, skv_263, skv_264, skv_265, skv_266 ) 0.70/0.92 ( (vbind skv_65 skv_64 skv_66), skv_4, veabs, skv_5, skv_10 ) 0.70/0.92 ( (vbind skv_65 skv_64 skv_66), skv_231, skv_232, skv_233, skv_234 ) 0.70/0.92 ( (vbind skv_65 skv_64 skv_66), skv_239, skv_240, skv_241, skv_242 ) 0.70/0.92 ( (vbind skv_65 skv_64 skv_66), skv_247, skv_248, skv_249, skv_250 ) 0.70/0.92 ( (vbind skv_65 skv_64 skv_66), skv_255, skv_256, skv_257, skv_258 ) 0.70/0.92 ( (vbind skv_65 skv_64 skv_66), skv_263, skv_264, skv_265, skv_266 ) 0.70/0.92 ( (vbind skv_7 skv_9 (vbind skv_65 skv_64 skv_66)), skv_215, skv_216, skv_217, skv_218 ) 0.70/0.92 ( (vbind skv_7 skv_9 (vbind skv_65 skv_64 skv_66)), skv_223, skv_224, skv_225, skv_226 ) 0.70/0.92 ( (vbind skv_65 skv_64 (vbind skv_7 skv_9 skv_66)), skv_215, skv_216, skv_217, skv_218 ) 0.70/0.92 ( (vbind skv_65 skv_64 (vbind skv_7 skv_9 skv_66)), skv_223, skv_224, skv_225, skv_226 ) 0.70/0.92 ( (vbind skv_28 skv_30 skv_3), skv_207, skv_208, skv_209, skv_210 ) 0.70/0.92 ( (vbind skv_7 skv_9 (vbind skv_28 skv_30 skv_3)), skv_207, skv_208, skv_209, skv_210 ) 0.70/0.92 ( (vbind skv_36 skv_38 (vbind skv_65 skv_64 skv_66)), skv_199, skv_200, skv_201, skv_202 ) 0.70/0.92 ( (vbind skv_65 skv_64 (vbind skv_36 skv_38 skv_66)), skv_199, skv_200, skv_201, skv_202 ) 0.70/0.92 ( (vbind skv_44 skv_46 (vbind skv_65 skv_64 skv_66)), skv_191, skv_192, skv_193, skv_194 ) 0.70/0.92 ( (vbind skv_65 skv_64 (vbind skv_44 skv_46 skv_66)), skv_191, skv_192, skv_193, skv_194 ) 0.70/0.92 ( (vbind skv_65 skv_64 (vbind skv_1 skv_2 skv_66)), skv_4, veabs, skv_5, skv_10 ) 0.70/0.92 ( (vbind skv_1 skv_2 (vbind skv_65 skv_64 skv_66)), skv_4, veabs, skv_5, skv_10 ) 0.70/0.92 ( (vbind skv_28 skv_30 (vbind skv_7 skv_9 (vbind skv_1 skv_2 skv_3))), skv_207, skv_208, skv_209, skv_210 ) 0.70/0.92 ( skv_292, skv_35, skv_8, skv_293, skv_10 ) 0.70/0.92 ( skv_292, skv_35, skv_32, skv_293, (varrow skv_34 skv_10) ) 0.70/0.92 ( skv_292, skv_35, skv_33, skv_293, skv_34 ) 0.70/0.92 ) 0.70/0.92 (instantiation (forall ((Ve $$unsorted) (VT $$unsorted) (VC $$unsorted)) (or (not (vtcheck VC Ve VT)) (not (forall ((Vx $$unsorted)) (or (not (= Ve (vvar Vx))) (not (= (vlookup Vx VC) (vsomeType VT)))) )) (not (forall ((Ve1 $$unsorted) (Ve2 $$unsorted) (VS $$unsorted)) (or (not (vtcheck VC Ve1 (varrow VS VT))) (not (vtcheck VC Ve2 VS)) (not (= Ve (vapp Ve1 Ve2)))) )) (not (forall ((Vx $$unsorted) (Ve2 $$unsorted) (VT1 $$unsorted) (VT2 $$unsorted)) (or (not (vtcheck (vbind Vx VT1 VC) Ve2 VT2)) (not (= VT (varrow VT1 VT2))) (not (= Ve (vabs Vx VT1 Ve2)))) ))) ) 0.70/0.92 ( (vabs skv_4 skv_5 veabs), skv_6, skv_3 ) 0.70/0.92 ( skv_8, skv_10, (vbind skv_7 skv_9 skv_3) ) 0.70/0.92 ( skv_11, (varrow skv_13 skv_6), skv_3 ) 0.70/0.92 ( skv_12, skv_13, skv_3 ) 0.70/0.92 ( (vapp skv_11 skv_12), skv_6, skv_3 ) 0.70/0.92 ( skv_29, skv_31, (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3)) ) 0.70/0.92 ( (vabs skv_28 skv_30 skv_29), (varrow skv_30 skv_31), (vbind skv_7 skv_9 skv_3) ) 0.70/0.92 ( skv_32, (varrow skv_34 skv_10), (vbind skv_7 skv_9 skv_3) ) 0.70/0.92 ( skv_33, skv_34, (vbind skv_7 skv_9 skv_3) ) 0.70/0.92 ( (vvar skv_35), skv_10, (vbind skv_7 skv_9 (vbind skv_1 skv_2 skv_3)) ) 0.70/0.92 ( skv_37, skv_39, (vbind skv_36 skv_38 skv_3) ) 0.70/0.92 ( (vabs skv_36 skv_38 skv_37), (varrow skv_38 skv_39), skv_3 ) 0.70/0.92 ( skv_40, (varrow skv_42 skv_13), skv_3 ) 0.70/0.92 ( skv_41, skv_42, skv_3 ) 0.70/0.92 ( skv_45, skv_47, (vbind skv_44 skv_46 skv_3) ) 0.70/0.92 ( (vabs skv_44 skv_46 skv_45), (varrow skv_46 skv_47), skv_3 ) 0.70/0.92 ( skv_48, (varrow skv_50 (varrow skv_13 skv_6)), skv_3 ) 0.70/0.92 ( skv_49, skv_50, skv_3 ) 0.70/0.92 ( (vapp skv_48 skv_49), (varrow skv_13 skv_6), skv_3 ) 0.70/0.92 ( (vabs skv_7 skv_9 skv_32), (varrow skv_9 (varrow skv_34 skv_10)), skv_3 ) 0.70/0.92 ( (vabs skv_7 skv_9 skv_33), (varrow skv_9 skv_34), skv_3 ) 0.70/0.92 ( skv_192, skv_194, (vbind skv_191 skv_193 (vbind skv_44 skv_46 skv_3)) ) 0.70/0.92 ( skv_195, (varrow skv_197 skv_47), (vbind skv_44 skv_46 skv_3) ) 0.70/0.92 ( skv_196, skv_197, (vbind skv_44 skv_46 skv_3) ) 0.70/0.92 ( skv_200, skv_202, (vbind skv_199 skv_201 (vbind skv_36 skv_38 skv_3)) ) 0.70/0.92 ( skv_203, (varrow skv_205 skv_39), (vbind skv_36 skv_38 skv_3) ) 0.70/0.92 ( skv_204, skv_205, (vbind skv_36 skv_38 skv_3) ) 0.70/0.92 ( skv_208, skv_210, (vbind skv_207 skv_209 (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3))) ) 0.70/0.92 ( skv_211, (varrow skv_213 skv_31), (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3)) ) 0.70/0.92 ( skv_212, skv_213, (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3)) ) 0.70/0.92 ( skv_216, skv_218, (vbind skv_215 skv_217 (vbind skv_7 skv_9 skv_3)) ) 0.70/0.92 ( skv_219, (varrow skv_221 skv_34), (vbind skv_7 skv_9 skv_3) ) 0.70/0.92 ( skv_220, skv_221, (vbind skv_7 skv_9 skv_3) ) 0.70/0.92 ( skv_224, skv_226, (vbind skv_223 skv_225 (vbind skv_7 skv_9 skv_3)) ) 0.70/0.92 ( skv_227, (varrow skv_229 (varrow skv_34 skv_10)), (vbind skv_7 skv_9 skv_3) ) 0.70/0.92 ( skv_228, skv_229, (vbind skv_7 skv_9 skv_3) ) 0.70/0.92 ( skv_232, skv_234, (vbind skv_231 skv_233 skv_3) ) 0.70/0.92 ( skv_235, (varrow skv_237 skv_50), skv_3 ) 0.70/0.92 ( skv_236, skv_237, skv_3 ) 0.70/0.92 ( skv_240, skv_242, (vbind skv_239 skv_241 skv_3) ) 0.70/0.92 ( skv_243, (varrow skv_245 (varrow skv_50 (varrow skv_13 skv_6))), skv_3 ) 0.70/0.92 ( skv_244, skv_245, skv_3 ) 0.70/0.92 ( skv_248, skv_250, (vbind skv_247 skv_249 skv_3) ) 0.70/0.92 ( skv_251, (varrow skv_253 skv_42), skv_3 ) 0.70/0.92 ( skv_252, skv_253, skv_3 ) 0.70/0.92 ( skv_256, skv_258, (vbind skv_255 skv_257 skv_3) ) 0.70/0.92 ( skv_259, (varrow skv_261 (varrow skv_42 skv_13)), skv_3 ) 0.70/0.92 ( skv_260, skv_261, skv_3 ) 0.70/0.92 ( skv_264, skv_266, (vbind skv_263 skv_265 skv_3) ) 0.70/0.92 ( skv_267, (varrow skv_269 skv_6), skv_3 ) 0.70/0.92 ( skv_268, skv_269, skv_3 ) 0.70/0.92 ) 0.70/0.92 (instantiation (forall ((Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted) (Ve2 $$unsorted)) (or (visValue Ve2) (visSomeExp (vreduce Ve2)) (= vnoExp (vreduce (vapp (vabs Vx VS Ve1) Ve2)))) ) 0.70/0.92 ( skv_15, skv_16, skv_17, skv_12 ) 0.70/0.92 ( skv_21, skv_20, skv_23, skv_22 ) 0.70/0.92 ( skv_21, skv_20, skv_23, (vgetSomeExp (vreduce skv_22)) ) 0.70/0.92 ( skv_25, skv_26, skv_27, skv_24 ) 0.70/0.92 ( skv_25, skv_26, skv_27, (vgetSomeExp (vreduce skv_24)) ) 0.70/0.92 ( skv_44, skv_46, skv_45, skv_12 ) 0.70/0.92 ( skv_44, skv_46, skv_45, (vgetSomeExp (vreduce skv_12)) ) 0.70/0.92 ( skv_70, skv_71, skv_72, skv_49 ) 0.70/0.92 ( skv_73, skv_74, skv_75, skv_41 ) 0.70/0.92 ( skv_76, skv_77, skv_78, skv_33 ) 0.70/0.92 ( skv_79, skv_80, skv_81, skv_24 ) 0.70/0.92 ( skv_79, skv_80, skv_81, (vgetSomeExp (vreduce skv_24)) ) 0.70/0.92 ( skv_82, skv_83, skv_84, skv_22 ) 0.70/0.92 ( skv_85, skv_86, skv_87, skv_19 ) 0.70/0.92 ( skv_88, skv_89, skv_90, skv_19 ) 0.70/0.92 ( skv_91, skv_92, skv_93, skv_12 ) 0.70/0.92 ( skv_91, skv_92, skv_93, skv_22 ) 0.70/0.92 ( skv_91, skv_92, skv_93, skv_24 ) 0.70/0.92 ( skv_98, skv_99, skv_100, skv_97 ) 0.70/0.92 ( skv_106, skv_105, skv_108, skv_107 ) 0.70/0.92 ( skv_110, skv_111, skv_112, skv_109 ) 0.70/0.92 ( skv_110, skv_111, skv_112, (vgetSomeExp (vreduce skv_109)) ) 0.70/0.92 ( skv_114, skv_115, skv_116, skv_278 ) 0.70/0.92 ( skv_118, skv_119, skv_120, skv_117 ) 0.70/0.92 ( skv_126, skv_125, skv_128, skv_127 ) 0.70/0.92 ( skv_130, skv_131, skv_132, skv_129 ) 0.70/0.92 ( skv_130, skv_131, skv_132, (vgetSomeExp (vreduce skv_129)) ) 0.70/0.92 ( skv_135, skv_136, skv_137, skv_134 ) 0.70/0.92 ( skv_143, skv_142, skv_145, skv_144 ) 0.70/0.92 ( skv_147, skv_148, skv_149, skv_146 ) 0.70/0.92 ( skv_147, skv_148, skv_149, (vgetSomeExp (vreduce skv_146)) ) 0.70/0.92 ( skv_154, skv_153, skv_156, skv_155 ) 0.70/0.92 ( skv_158, skv_159, skv_160, skv_157 ) 0.70/0.92 ( skv_158, skv_159, skv_160, (vgetSomeExp (vreduce skv_157)) ) 0.70/0.92 ( skv_165, skv_166, skv_167, skv_164 ) 0.70/0.92 ( skv_173, skv_172, skv_175, skv_174 ) 0.70/0.92 ( skv_177, skv_178, skv_179, skv_176 ) 0.70/0.92 ( skv_177, skv_178, skv_179, (vgetSomeExp (vreduce skv_176)) ) 0.70/0.92 ( skv_184, skv_183, skv_186, skv_185 ) 0.70/0.92 ( skv_188, skv_189, skv_190, skv_187 ) 0.70/0.92 ( skv_188, skv_189, skv_190, (vgetSomeExp (vreduce skv_187)) ) 0.70/0.92 ( skv_323, skv_324, skv_325, skv_322 ) 0.70/0.92 ) 0.70/0.92 (instantiation (forall ((Ve1 $$unsorted) (Vv $$unsorted) (Ve2 $$unsorted)) (or (not (visFreeVar Vv (vapp Ve1 Ve2))) (visFreeVar Vv Ve1) (visFreeVar Vv Ve2)) ) 0.70/0.92 ( skv_32, skv_7, skv_33 ) 0.70/0.92 ( (vabs skv_118 skv_119 skv_120), skv_277, skv_117 ) 0.70/0.92 ( skv_151, skv_14, skv_152 ) 0.70/0.92 ( skv_195, skv_44, skv_196 ) 0.70/0.92 ( skv_219, skv_7, skv_220 ) 0.70/0.92 ( skv_227, skv_7, skv_228 ) 0.70/0.92 ) 0.70/0.92 (instantiation (forall ((Ve1 $$unsorted) (Vv $$unsorted) (Ve2 $$unsorted)) (or (and (not (visFreeVar Vv Ve1)) (not (visFreeVar Vv Ve2))) (visFreeVar Vv (vapp Ve1 Ve2))) ) 0.70/0.92 ( skv_11, skv_1, skv_12 ) 0.70/0.92 ( skv_11, skv_65, skv_12 ) 0.70/0.92 ( (vabs skv_21 skv_20 skv_23), skv_1, skv_22 ) 0.70/0.92 ( (vabs skv_25 skv_26 skv_27), skv_1, skv_24 ) 0.70/0.92 ( skv_32, skv_1, skv_33 ) 0.70/0.92 ( skv_32, skv_65, skv_33 ) 0.70/0.92 ( skv_40, skv_1, skv_41 ) 0.70/0.92 ( skv_40, skv_65, skv_41 ) 0.70/0.92 ( skv_48, skv_1, skv_49 ) 0.70/0.92 ( (vabs skv_98 skv_99 skv_100), skv_1, skv_97 ) 0.70/0.92 ( (vabs skv_118 skv_119 skv_120), skv_1, skv_117 ) 0.70/0.92 ( (vabs skv_118 skv_119 skv_120), skv_273, skv_117 ) 0.70/0.92 ( (vabs skv_165 skv_166 skv_167), skv_1, skv_164 ) 0.70/0.92 ( skv_181, skv_1, skv_182 ) 0.70/0.92 ( skv_181, skv_65, skv_182 ) 0.70/0.92 ( skv_195, skv_1, skv_196 ) 0.70/0.92 ( skv_203, skv_1, skv_204 ) 0.70/0.92 ( skv_203, skv_36, skv_204 ) 0.70/0.92 ( skv_211, skv_1, skv_212 ) 0.70/0.92 ( skv_211, skv_7, skv_212 ) 0.70/0.92 ( skv_211, skv_28, skv_212 ) 0.70/0.92 ( skv_219, skv_1, skv_220 ) 0.70/0.92 ( skv_227, skv_1, skv_228 ) 0.70/0.92 ( skv_235, skv_1, skv_236 ) 0.70/0.92 ( skv_235, skv_65, skv_236 ) 0.70/0.92 ( skv_243, skv_1, skv_244 ) 0.70/0.92 ( skv_243, skv_65, skv_244 ) 0.70/0.92 ( skv_251, skv_1, skv_252 ) 0.70/0.92 ( skv_251, skv_65, skv_252 ) 0.70/0.92 ( skv_259, skv_1, skv_260 ) 0.70/0.92 ( skv_259, skv_65, skv_260 ) 0.70/0.92 ( (vabs skv_323 skv_324 skv_325), skv_1, skv_322 ) 0.70/0.92 ( (vabs skv_323 skv_324 skv_325), skv_65, skv_322 ) 0.70/0.92 ) 0.70/0.92 (instantiation (forall ((VVar0 $$unsorted) (VTyp0 $$unsorted) (VCtx0 $$unsorted) (VVar1 $$unsorted) (VTyp1 $$unsorted) (VCtx1 $$unsorted)) (or (not (= (vbind VVar1 VTyp1 VCtx1) (vbind VVar0 VTyp0 VCtx0))) (and (= VCtx0 VCtx1) (= VTyp0 VTyp1) (= VVar0 VVar1))) ) 0.70/0.92 ( skv_1, skv_2, skv_3, skv_1, skv_2, skv_3 ) 0.70/0.92 ( skv_1, skv_2, skv_3, skv_7, skv_9, skv_3 ) 0.70/0.92 ( skv_1, skv_2, skv_3, skv_7, skv_9, (vbind skv_1 skv_2 skv_3) ) 0.70/0.92 ( skv_1, skv_2, skv_3, skv_28, skv_30, (vbind skv_7 skv_9 skv_3) ) 0.70/0.92 ( skv_1, skv_2, skv_3, skv_36, skv_38, skv_3 ) 0.70/0.92 ( skv_1, skv_2, skv_3, skv_44, skv_46, skv_3 ) 0.70/0.92 ( skv_1, skv_2, (vbind skv_7 skv_9 skv_3), skv_1, skv_2, (vbind skv_7 skv_9 skv_3) ) 0.70/0.92 ( skv_1, skv_2, (vbind skv_7 skv_9 skv_3), skv_28, skv_30, (vbind skv_7 skv_9 (vbind skv_1 skv_2 skv_3)) ) 0.70/0.92 ( skv_1, skv_2, (vbind skv_7 skv_9 skv_3), skv_191, skv_193, (vbind skv_44 skv_46 skv_3) ) 0.70/0.92 ( skv_1, skv_2, skv_66, skv_1, skv_2, skv_66 ) 0.70/0.92 ( skv_1, skv_2, skv_66, skv_1, skv_2, (vbind skv_65 skv_64 skv_66) ) 0.70/0.92 ( skv_1, skv_2, skv_66, skv_65, skv_64, (vbind skv_1 skv_2 skv_66) ) 0.70/0.92 ( skv_1, skv_2, (vbind skv_65 skv_64 skv_66), skv_65, skv_64, (vbind skv_1 skv_2 skv_66) ) 0.70/0.92 ( skv_1, skv_58, skv_57, skv_1, skv_58, skv_57 ) 0.70/0.92 ( skv_1, skv_58, skv_57, skv_1, skv_63, skv_62 ) 0.70/0.92 ( skv_1, skv_58, skv_57, skv_55, skv_54, skv_56 ) 0.70/0.92 ( skv_1, skv_58, skv_57, skv_60, skv_59, skv_61 ) 0.70/0.92 ( skv_1, skv_63, skv_62, skv_1, skv_63, skv_62 ) 0.70/0.92 ( skv_1, skv_63, skv_62, skv_55, skv_54, skv_56 ) 0.70/0.92 ( skv_1, skv_63, skv_62, skv_65, skv_64, skv_66 ) 0.70/0.92 ( skv_1, skv_313, skv_312, skv_1, skv_313, skv_312 ) 0.70/0.92 ( skv_1, skv_313, skv_312, skv_1, skv_318, skv_317 ) 0.70/0.92 ( skv_1, skv_313, skv_312, skv_315, skv_314, skv_316 ) 0.70/0.92 ( skv_1, skv_318, skv_317, skv_315, skv_314, skv_316 ) 0.70/0.92 ( skv_7, skv_9, skv_3, skv_1, skv_2, skv_3 ) 0.70/0.92 ( skv_7, skv_9, skv_3, skv_7, skv_9, skv_3 ) 0.70/0.92 ( skv_7, skv_9, skv_3, skv_7, skv_9, (vbind skv_1 skv_2 skv_3) ) 0.70/0.92 ( skv_7, skv_9, skv_3, skv_28, skv_30, (vbind skv_7 skv_9 skv_3) ) 0.70/0.92 ( skv_7, skv_9, skv_3, skv_36, skv_38, skv_3 ) 0.70/0.92 ( skv_7, skv_9, (vbind skv_1 skv_2 skv_3), skv_1, skv_2, skv_3 ) 0.70/0.92 ( skv_7, skv_9, (vbind skv_1 skv_2 skv_3), skv_7, skv_9, skv_3 ) 0.70/0.92 ( skv_7, skv_9, (vbind skv_1 skv_2 skv_3), skv_7, skv_9, (vbind skv_1 skv_2 skv_3) ) 0.70/0.92 ( skv_7, skv_9, (vbind skv_1 skv_2 skv_3), skv_14, skv_53, skv_52 ) 0.70/0.92 ( skv_7, skv_9, (vbind skv_1 skv_2 skv_3), skv_28, skv_30, (vbind skv_7 skv_9 skv_3) ) 0.70/0.92 ( skv_7, skv_9, skv_66, skv_7, skv_9, (vbind skv_65 skv_64 skv_66) ) 0.70/0.92 ( skv_7, skv_9, (vbind skv_65 skv_64 skv_66), skv_60, skv_59, skv_61 ) 0.70/0.92 ( skv_7, skv_9, (vbind skv_28 skv_30 skv_3), skv_28, skv_30, skv_3 ) 0.70/0.92 ( skv_7, skv_308, skv_307, skv_305, skv_304, skv_306 ) 0.70/0.92 ( skv_14, skv_53, skv_52, skv_28, skv_30, (vbind skv_7 skv_9 skv_3) ) 0.70/0.92 ( skv_14, skv_53, skv_52, skv_44, skv_46, skv_3 ) 0.70/0.92 ( skv_14, skv_298, skv_297, skv_14, skv_298, skv_297 ) 0.70/0.92 ( skv_14, skv_298, skv_297, skv_14, skv_303, skv_302 ) 0.70/0.92 ( skv_14, skv_298, skv_297, skv_300, skv_299, skv_301 ) 0.70/0.92 ( skv_14, skv_303, skv_302, skv_300, skv_299, skv_301 ) 0.70/0.92 ( skv_28, skv_30, skv_3, skv_65, skv_64, (vbind skv_7 skv_9 skv_66) ) 0.70/0.92 ( skv_28, skv_30, (vbind skv_7 skv_9 skv_3), skv_1, skv_2, skv_3 ) 0.70/0.92 ( skv_28, skv_30, (vbind skv_7 skv_9 skv_3), skv_7, skv_9, skv_3 ) 0.70/0.92 ( skv_28, skv_30, (vbind skv_7 skv_9 skv_3), skv_7, skv_9, (vbind skv_1 skv_2 skv_3) ) 0.70/0.92 ( skv_28, skv_30, (vbind skv_7 skv_9 (vbind skv_1 skv_2 skv_3)), skv_1, skv_2, (vbind skv_7 skv_9 skv_3) ) 0.70/0.92 ( skv_35, skv_293, skv_292, skv_290, skv_289, skv_291 ) 0.70/0.92 ( skv_36, skv_38, skv_3, skv_1, skv_2, skv_3 ) 0.70/0.92 ( skv_36, skv_38, skv_3, skv_14, skv_53, skv_52 ) 0.70/0.92 ( skv_36, skv_38, skv_3, skv_36, skv_38, skv_3 ) 0.70/0.92 ( skv_36, skv_38, skv_3, skv_44, skv_46, skv_3 ) 0.70/0.92 ( skv_36, skv_38, skv_66, skv_36, skv_38, (vbind skv_65 skv_64 skv_66) ) 0.70/0.92 ( skv_36, skv_38, (vbind skv_65 skv_64 skv_66), skv_36, skv_38, skv_66 ) 0.70/0.92 ( skv_36, skv_38, (vbind skv_65 skv_64 skv_66), skv_36, skv_38, (vbind skv_65 skv_64 skv_66) ) 0.70/0.92 ( skv_36, skv_38, (vbind skv_65 skv_64 skv_66), skv_65, skv_64, (vbind skv_36 skv_38 skv_66) ) 0.70/0.92 ( skv_43, skv_288, skv_287, skv_285, skv_284, skv_286 ) 0.70/0.92 ( skv_44, skv_46, skv_3, skv_7, skv_9, skv_3 ) 0.70/0.92 ( skv_44, skv_46, skv_3, skv_36, skv_38, skv_3 ) 0.70/0.92 ( skv_44, skv_46, skv_66, skv_44, skv_46, (vbind skv_65 skv_64 skv_66) ) 0.70/0.92 ( skv_44, skv_46, (vbind skv_65 skv_64 skv_66), skv_44, skv_46, skv_66 ) 0.70/0.92 ( skv_44, skv_46, (vbind skv_65 skv_64 skv_66), skv_44, skv_46, (vbind skv_65 skv_64 skv_66) ) 0.70/0.92 ( skv_44, skv_46, (vbind skv_65 skv_64 skv_66), skv_65, skv_64, (vbind skv_44 skv_46 skv_66) ) 0.70/0.92 ( skv_51, skv_283, skv_282, skv_43, skv_288, skv_287 ) 0.70/0.92 ( skv_51, skv_283, skv_282, skv_51, skv_283, skv_282 ) 0.70/0.92 ( skv_51, skv_283, skv_282, skv_285, skv_284, skv_286 ) 0.70/0.92 ( skv_55, skv_54, skv_56, skv_1, skv_58, skv_57 ) 0.70/0.92 ( skv_55, skv_54, skv_56, skv_1, skv_63, skv_62 ) 0.70/0.92 ( skv_55, skv_54, skv_56, skv_55, skv_54, skv_56 ) 0.70/0.92 ( skv_55, skv_54, skv_56, skv_60, skv_59, skv_61 ) 0.70/0.92 ( skv_55, skv_54, skv_56, skv_65, skv_64, skv_66 ) 0.70/0.92 ( skv_60, skv_59, skv_61, skv_1, skv_58, skv_57 ) 0.70/0.92 ( skv_60, skv_59, skv_61, skv_7, skv_9, skv_66 ) 0.70/0.92 ( skv_60, skv_59, skv_61, skv_7, skv_9, (vbind skv_65 skv_64 skv_66) ) 0.70/0.92 ( skv_60, skv_59, skv_61, skv_55, skv_54, skv_56 ) 0.70/0.92 ( skv_60, skv_59, skv_61, skv_60, skv_59, skv_61 ) 0.70/0.92 ( skv_65, skv_64, skv_66, skv_1, skv_58, skv_57 ) 0.70/0.92 ( skv_65, skv_64, skv_66, skv_1, skv_63, skv_62 ) 0.70/0.92 ( skv_65, skv_64, (vbind skv_7 skv_9 skv_66), skv_7, skv_9, (vbind skv_28 skv_30 skv_3) ) 0.70/0.92 ( skv_65, skv_64, (vbind skv_7 skv_9 skv_66), skv_28, skv_30, skv_3 ) 0.70/0.92 ( skv_65, skv_64, (vbind skv_7 skv_9 skv_66), skv_65, skv_64, (vbind skv_7 skv_9 skv_66) ) 0.70/0.92 ( skv_65, skv_64, (vbind skv_36 skv_38 skv_66), skv_36, skv_38, skv_66 ) 0.70/0.92 ( skv_65, skv_64, (vbind skv_44 skv_46 skv_66), skv_44, skv_46, skv_66 ) 0.70/0.92 ( skv_65, skv_64, (vbind skv_1 skv_2 skv_66), skv_1, skv_2, skv_66 ) 0.70/0.92 ( skv_191, skv_193, (vbind skv_44 skv_46 skv_3), skv_28, skv_30, (vbind skv_7 skv_9 (vbind skv_1 skv_2 skv_3)) ) 0.70/0.92 ( skv_199, skv_201, (vbind skv_36 skv_38 skv_3), skv_199, skv_201, (vbind skv_36 skv_38 skv_3) ) 0.70/0.92 ( skv_199, skv_201, (vbind skv_36 skv_38 skv_3), skv_207, skv_209, (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3)) ) 0.70/0.92 ( skv_199, skv_201, (vbind skv_36 skv_38 skv_3), skv_215, skv_217, (vbind skv_7 skv_9 skv_3) ) 0.70/0.92 ( skv_207, skv_209, (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3)), skv_199, skv_201, (vbind skv_36 skv_38 skv_3) ) 0.70/0.92 ( skv_215, skv_217, (vbind skv_7 skv_9 skv_3), skv_207, skv_209, (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3)) ) 0.70/0.92 ( skv_223, skv_225, (vbind skv_7 skv_9 skv_3), skv_223, skv_225, (vbind skv_7 skv_9 skv_3) ) 0.70/0.92 ( skv_223, skv_225, (vbind skv_7 skv_9 skv_3), skv_231, skv_233, skv_3 ) 0.70/0.92 ( skv_223, skv_225, (vbind skv_7 skv_9 skv_3), skv_239, skv_241, skv_3 ) 0.70/0.92 ( skv_231, skv_233, skv_3, skv_223, skv_225, (vbind skv_7 skv_9 skv_3) ) 0.70/0.92 ( skv_239, skv_241, skv_3, skv_231, skv_233, skv_3 ) 0.70/0.92 ( skv_247, skv_249, skv_3, skv_247, skv_249, skv_3 ) 0.70/0.92 ( skv_247, skv_249, skv_3, skv_255, skv_257, skv_3 ) 0.70/0.92 ( skv_247, skv_249, skv_3, skv_263, skv_265, skv_3 ) 0.70/0.92 ( skv_255, skv_257, skv_3, skv_247, skv_249, skv_3 ) 0.70/0.92 ( skv_263, skv_265, skv_3, skv_255, skv_257, skv_3 ) 0.70/0.92 ( skv_285, skv_284, skv_286, skv_51, skv_283, skv_282 ) 0.70/0.92 ( skv_290, skv_289, skv_291, skv_35, skv_293, skv_292 ) 0.70/0.92 ( skv_290, skv_289, skv_291, skv_290, skv_289, skv_291 ) 0.70/0.92 ( skv_290, skv_289, skv_291, skv_295, skv_294, skv_296 ) 0.70/0.92 ( skv_295, skv_294, skv_296, skv_35, skv_293, skv_292 ) 0.70/0.92 ( skv_300, skv_299, skv_301, skv_14, skv_298, skv_297 ) 0.70/0.92 ( skv_305, skv_304, skv_306, skv_7, skv_308, skv_307 ) 0.70/0.93 ( skv_305, skv_304, skv_306, skv_305, skv_304, skv_306 ) 0.70/0.93 ( skv_305, skv_304, skv_306, skv_310, skv_309, skv_311 ) 0.70/0.93 ( skv_310, skv_309, skv_311, skv_7, skv_308, skv_307 ) 0.70/0.93 ( skv_315, skv_314, skv_316, skv_1, skv_313, skv_312 ) 0.70/0.93 ( skv_320, skv_319, skv_321, skv_320, skv_319, skv_321 ) 0.70/0.93 ) 0.70/0.93 (instantiation (forall ((VS $$unsorted) (Vx $$unsorted) (Ve2 $$unsorted) (Ve1 $$unsorted)) (or (visSomeExp (vreduce Ve2)) (not (visValue Ve2)) (= (vsomeExp (vsubst Vx Ve2 Ve1)) (vreduce (vapp (vabs Vx VS Ve1) Ve2)))) ) 0.70/0.93 ( skv_16, skv_15, skv_12, skv_17 ) 0.70/0.93 ( skv_20, skv_21, skv_22, skv_23 ) 0.70/0.93 ( skv_20, skv_21, (vgetSomeExp (vreduce skv_22)), skv_23 ) 0.70/0.93 ( skv_26, skv_25, skv_24, skv_27 ) 0.70/0.93 ( skv_26, skv_25, (vgetSomeExp (vreduce skv_24)), skv_27 ) 0.70/0.93 ( skv_46, skv_44, skv_12, skv_45 ) 0.70/0.93 ( skv_46, skv_44, (vgetSomeExp (vreduce skv_12)), skv_45 ) 0.70/0.93 ( skv_71, skv_70, skv_49, skv_72 ) 0.70/0.93 ( skv_74, skv_73, skv_41, skv_75 ) 0.70/0.93 ( skv_77, skv_76, skv_33, skv_78 ) 0.70/0.93 ( skv_80, skv_79, skv_24, skv_81 ) 0.70/0.93 ( skv_80, skv_79, (vgetSomeExp (vreduce skv_24)), skv_81 ) 0.70/0.93 ( skv_83, skv_82, skv_22, skv_84 ) 0.70/0.93 ( skv_86, skv_85, skv_19, skv_87 ) 0.70/0.93 ( skv_89, skv_88, skv_19, skv_90 ) 0.70/0.93 ( skv_92, skv_91, skv_12, skv_93 ) 0.70/0.93 ( skv_92, skv_91, skv_22, skv_93 ) 0.70/0.93 ( skv_92, skv_91, skv_24, skv_93 ) 0.70/0.93 ( skv_99, skv_98, skv_97, skv_100 ) 0.70/0.93 ( skv_105, skv_106, skv_107, skv_108 ) 0.70/0.93 ( skv_111, skv_110, skv_109, skv_112 ) 0.70/0.93 ( skv_111, skv_110, (vgetSomeExp (vreduce skv_109)), skv_112 ) 0.70/0.93 ( skv_115, skv_114, skv_278, skv_116 ) 0.70/0.93 ( skv_119, skv_118, skv_117, skv_120 ) 0.70/0.93 ( skv_125, skv_126, skv_127, skv_128 ) 0.70/0.93 ( skv_131, skv_130, skv_129, skv_132 ) 0.70/0.93 ( skv_131, skv_130, (vgetSomeExp (vreduce skv_129)), skv_132 ) 0.70/0.93 ( skv_136, skv_135, skv_134, skv_137 ) 0.70/0.93 ( skv_142, skv_143, skv_144, skv_145 ) 0.70/0.93 ( skv_148, skv_147, skv_146, skv_149 ) 0.70/0.93 ( skv_148, skv_147, (vgetSomeExp (vreduce skv_146)), skv_149 ) 0.70/0.93 ( skv_153, skv_154, skv_155, skv_156 ) 0.70/0.93 ( skv_159, skv_158, skv_157, skv_160 ) 0.70/0.93 ( skv_159, skv_158, (vgetSomeExp (vreduce skv_157)), skv_160 ) 0.70/0.93 ( skv_166, skv_165, skv_164, skv_167 ) 0.70/0.93 ( skv_172, skv_173, skv_174, skv_175 ) 0.70/0.93 ( skv_178, skv_177, skv_176, skv_179 ) 0.70/0.93 ( skv_178, skv_177, (vgetSomeExp (vreduce skv_176)), skv_179 ) 0.70/0.93 ( skv_183, skv_184, skv_185, skv_186 ) 0.70/0.93 ( skv_189, skv_188, skv_187, skv_190 ) 0.70/0.93 ( skv_189, skv_188, (vgetSomeExp (vreduce skv_187)), skv_190 ) 0.70/0.93 ( skv_324, skv_323, skv_322, skv_325 ) 0.70/0.93 ) 0.70/0.93 (instantiation (forall ((Ve1 $$unsorted) (Ve2 $$unsorted)) (not (visValue (vapp Ve1 Ve2))) ) 0.70/0.93 ( skv_11, skv_12 ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_11)), skv_12 ) 0.70/0.93 ( skv_18, skv_19 ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_18)), skv_19 ) 0.70/0.93 ( skv_22, skv_278 ) 0.70/0.93 ( (vabs skv_21 skv_20 skv_23), skv_22 ) 0.70/0.93 ( (vabs skv_21 skv_20 skv_23), (vgetSomeExp (vreduce skv_22)) ) 0.70/0.93 ( (vabs skv_25 skv_26 skv_27), skv_24 ) 0.70/0.93 ( (vabs skv_25 skv_26 skv_27), (vgetSomeExp (vreduce skv_24)) ) 0.70/0.93 ( skv_32, skv_33 ) 0.70/0.93 ( skv_40, skv_41 ) 0.70/0.93 ( (vabs skv_44 skv_46 skv_45), skv_12 ) 0.70/0.93 ( (vabs skv_44 skv_46 skv_45), (vgetSomeExp (vreduce skv_12)) ) 0.70/0.93 ( skv_48, skv_49 ) 0.70/0.93 ( (vgetSomeExp (vreduce (vabs skv_25 skv_26 skv_27))), skv_24 ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_48)), skv_49 ) 0.70/0.93 ( (vgetSomeExp (vreduce (vabs skv_21 skv_20 skv_23))), skv_22 ) 0.70/0.93 ( (vabs skv_98 skv_99 skv_100), skv_97 ) 0.70/0.93 ( skv_101, skv_102 ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_101)), skv_102 ) 0.70/0.93 ( skv_104, skv_103 ) 0.70/0.93 ( (vabs skv_106 skv_105 skv_108), skv_107 ) 0.70/0.93 ( (vabs skv_110 skv_111 skv_112), skv_109 ) 0.70/0.93 ( (vabs skv_110 skv_111 skv_112), (vgetSomeExp (vreduce skv_109)) ) 0.70/0.93 ( (vabs skv_118 skv_119 skv_120), skv_117 ) 0.70/0.93 ( skv_121, skv_122 ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_121)), skv_122 ) 0.70/0.93 ( skv_124, skv_123 ) 0.70/0.93 ( (vabs skv_126 skv_125 skv_128), skv_127 ) 0.70/0.93 ( (vabs skv_130 skv_131 skv_132), skv_129 ) 0.70/0.93 ( (vabs skv_130 skv_131 skv_132), (vgetSomeExp (vreduce skv_129)) ) 0.70/0.93 ( (vabs skv_135 skv_136 skv_137), skv_134 ) 0.70/0.93 ( skv_138, skv_139 ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_138)), skv_139 ) 0.70/0.93 ( skv_141, skv_140 ) 0.70/0.93 ( (vabs skv_143 skv_142 skv_145), skv_144 ) 0.70/0.93 ( (vabs skv_147 skv_148 skv_149), skv_146 ) 0.70/0.93 ( (vabs skv_147 skv_148 skv_149), (vgetSomeExp (vreduce skv_146)) ) 0.70/0.93 ( skv_151, skv_152 ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_151)), skv_152 ) 0.70/0.93 ( (vabs skv_154 skv_153 skv_156), skv_155 ) 0.70/0.93 ( (vabs skv_158 skv_159 skv_160), skv_157 ) 0.70/0.93 ( (vabs skv_158 skv_159 skv_160), (vgetSomeExp (vreduce skv_157)) ) 0.70/0.93 ( (vabs skv_165 skv_166 skv_167), skv_164 ) 0.70/0.93 ( skv_168, skv_169 ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_168)), skv_169 ) 0.70/0.93 ( skv_171, skv_170 ) 0.70/0.93 ( (vabs skv_173 skv_172 skv_175), skv_174 ) 0.70/0.93 ( (vabs skv_177 skv_178 skv_179), skv_176 ) 0.70/0.93 ( (vabs skv_177 skv_178 skv_179), (vgetSomeExp (vreduce skv_176)) ) 0.70/0.93 ( skv_181, skv_182 ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_181)), skv_182 ) 0.70/0.93 ( (vabs skv_184 skv_183 skv_186), skv_185 ) 0.70/0.93 ( (vabs skv_188 skv_189 skv_190), skv_187 ) 0.70/0.93 ( (vabs skv_188 skv_189 skv_190), (vgetSomeExp (vreduce skv_187)) ) 0.70/0.93 ( skv_195, skv_196 ) 0.70/0.93 ( skv_203, skv_204 ) 0.70/0.93 ( skv_211, skv_212 ) 0.70/0.93 ( skv_219, skv_220 ) 0.70/0.93 ( skv_227, skv_228 ) 0.70/0.93 ( skv_235, skv_236 ) 0.70/0.93 ( skv_243, skv_244 ) 0.70/0.93 ( skv_251, skv_252 ) 0.70/0.93 ( skv_259, skv_260 ) 0.70/0.93 ( skv_267, skv_268 ) 0.70/0.93 ( (vapp skv_22 skv_278), (vvar skv_21) ) 0.70/0.93 ( skv_279, skv_280 ) 0.70/0.93 ( (vsubst skv_21 skv_22 skv_279), (vsubst skv_21 skv_22 skv_280) ) 0.70/0.93 ( (vabs skv_323 skv_324 skv_325), skv_322 ) 0.70/0.93 ) 0.70/0.93 (instantiation (forall ((Vx $$unsorted) (VS $$unsorted) (Ve $$unsorted)) (= vnoExp (vreduce (vabs Vx VS Ve))) ) 0.70/0.93 ( skv_4, skv_5, veabs ) 0.70/0.93 ( skv_7, skv_9, skv_8 ) 0.70/0.93 ( skv_7, skv_9, skv_32 ) 0.70/0.93 ( skv_7, skv_9, skv_33 ) 0.70/0.93 ( skv_15, skv_16, skv_17 ) 0.70/0.93 ( skv_21, skv_20, skv_23 ) 0.70/0.93 ( skv_21, skv_271, skv_272 ) 0.70/0.93 ( skv_25, skv_26, skv_27 ) 0.70/0.93 ( skv_28, skv_30, skv_29 ) 0.70/0.93 ( skv_36, skv_38, skv_37 ) 0.70/0.93 ( skv_44, skv_46, skv_45 ) 0.70/0.93 ( skv_65, skv_64, (vabs skv_4 skv_5 veabs) ) 0.70/0.93 ( skv_65, skv_64, skv_11 ) 0.70/0.93 ( skv_65, skv_64, skv_12 ) 0.70/0.93 ( skv_65, skv_64, (vapp skv_11 skv_12) ) 0.70/0.93 ( skv_65, skv_64, skv_40 ) 0.70/0.93 ( skv_65, skv_64, skv_41 ) 0.70/0.93 ( skv_65, skv_64, skv_48 ) 0.70/0.93 ( skv_65, skv_64, skv_49 ) 0.70/0.93 ( skv_67, skv_68, skv_69 ) 0.70/0.93 ( skv_70, skv_71, skv_72 ) 0.70/0.93 ( skv_73, skv_74, skv_75 ) 0.70/0.93 ( skv_76, skv_77, skv_78 ) 0.70/0.93 ( skv_79, skv_80, skv_81 ) 0.70/0.93 ( skv_82, skv_83, skv_84 ) 0.70/0.93 ( skv_85, skv_86, skv_87 ) 0.70/0.93 ( skv_88, skv_89, skv_90 ) 0.70/0.93 ( skv_91, skv_92, skv_93 ) 0.70/0.93 ( skv_94, skv_95, skv_96 ) 0.70/0.93 ( skv_98, skv_99, skv_100 ) 0.70/0.93 ( skv_106, skv_105, skv_108 ) 0.70/0.93 ( skv_110, skv_111, skv_112 ) 0.70/0.93 ( skv_114, skv_115, skv_116 ) 0.70/0.93 ( skv_118, skv_119, skv_120 ) 0.70/0.93 ( skv_126, skv_125, skv_128 ) 0.70/0.93 ( skv_130, skv_131, skv_132 ) 0.70/0.93 ( skv_135, skv_136, skv_137 ) 0.70/0.93 ( skv_143, skv_142, skv_145 ) 0.70/0.93 ( skv_147, skv_148, skv_149 ) 0.70/0.93 ( skv_154, skv_153, skv_156 ) 0.70/0.93 ( skv_158, skv_159, skv_160 ) 0.70/0.93 ( skv_161, skv_162, skv_163 ) 0.70/0.93 ( skv_165, skv_166, skv_167 ) 0.70/0.93 ( skv_173, skv_172, skv_175 ) 0.70/0.93 ( skv_177, skv_178, skv_179 ) 0.70/0.93 ( skv_184, skv_183, skv_186 ) 0.70/0.93 ( skv_188, skv_189, skv_190 ) 0.70/0.93 ( skv_191, skv_193, skv_192 ) 0.70/0.93 ( skv_199, skv_201, skv_200 ) 0.70/0.93 ( skv_207, skv_209, skv_208 ) 0.70/0.93 ( skv_215, skv_217, skv_216 ) 0.70/0.93 ( skv_223, skv_225, skv_224 ) 0.70/0.93 ( skv_231, skv_233, skv_232 ) 0.70/0.93 ( skv_239, skv_241, skv_240 ) 0.70/0.93 ( skv_247, skv_249, skv_248 ) 0.70/0.93 ( skv_255, skv_257, skv_256 ) 0.70/0.93 ( skv_263, skv_265, skv_264 ) 0.70/0.93 ( skv_273, skv_274, skv_275 ) 0.70/0.93 ( skv_273, skv_274, (vsubst skv_21 skv_22 skv_275) ) 0.70/0.93 ( skv_277, skv_276, skv_278 ) 0.70/0.93 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_276, (vsubst skv_277 (vvar (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21)))) skv_278) ) 0.70/0.93 ( skv_323, skv_324, skv_325 ) 0.70/0.93 ) 0.70/0.93 (instantiation (let ((_let_0 (vabs Vx VS Ve1))) (let ((_let_1 (vreduce Ve2))) (let ((_let_2 (vreduce Ve1))) (let ((_let_3 (= vnoExp (vreduce VExp0)))) (forall ((VExp0 $$unsorted)) (let ((_let_0 (vreduce VExp0))) (or (and _let_3 (not (forall ((Vx $$unsorted)) (not (= VExp0 (vvar Vx))) ))) (not (forall ((Ve2 $$unsorted) (Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted)) (or (not (= VExp0 (vapp _let_0 Ve2))) (not (visSomeExp _let_1)) (not (= _let_0 (vsomeExp (vapp _let_0 (vgetSomeExp _let_1)))))) )) (not (forall ((VS $$unsorted) (Vx $$unsorted) (Ve2 $$unsorted) (Ve1 $$unsorted)) (or (visSomeExp (vreduce Ve2)) (not (visValue Ve2)) (not (= (vsomeExp (vsubst Vx Ve2 Ve1)) _let_0)) (not (= VExp0 (vapp (vabs Vx VS Ve1) Ve2)))) )) (and _let_3 (not (forall ((Ve2 $$unsorted) (Ve1 $$unsorted)) (or (not (= VExp0 (vapp Ve1 Ve2))) (not (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= Ve1 (vabs VVx0 VVS0 VVe10))) )) (visSomeExp (vreduce Ve1))) ))) (not (forall ((Ve1 $$unsorted) (Ve2 $$unsorted)) (or (not (visSomeExp _let_2)) (not (= _let_0 (vsomeExp (vapp (vgetSomeExp _let_2) Ve2)))) (not (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= Ve1 (vabs VVx0 VVS0 VVe10))) )) (not (= VExp0 (vapp Ve1 Ve2)))) )) (and _let_3 (not (forall ((Ve2 $$unsorted) (Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted)) (or (visSomeExp (vreduce Ve2)) (visValue Ve2) (not (= VExp0 (vapp (vabs Vx VS Ve1) Ve2)))) ))) (and _let_3 (not (forall ((Vx $$unsorted) (VS $$unsorted) (Ve $$unsorted)) (not (= VExp0 (vabs Vx VS Ve))) ))))) ))))) 0.70/0.93 ( (vabs skv_4 skv_5 veabs) ) 0.70/0.93 ( skv_11 ) 0.70/0.93 ( skv_12 ) 0.70/0.93 ( (vapp skv_11 skv_12) ) 0.70/0.93 ( (vvar skv_14) ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_11)) ) 0.70/0.93 ( (vapp (vgetSomeExp (vreduce skv_11)) skv_12) ) 0.70/0.93 ( skv_18 ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_18)) ) 0.70/0.93 ( (vapp (vgetSomeExp (vreduce skv_18)) skv_19) ) 0.70/0.93 ( (vapp skv_18 skv_19) ) 0.70/0.93 ( skv_22 ) 0.70/0.93 ( (vabs skv_21 skv_20 skv_23) ) 0.70/0.93 ( (vapp (vabs skv_21 skv_20 skv_23) skv_22) ) 0.70/0.93 ( skv_24 ) 0.70/0.93 ( (vabs skv_25 skv_26 skv_27) ) 0.70/0.93 ( (vapp (vabs skv_25 skv_26 skv_27) skv_24) ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_24)) ) 0.70/0.93 ( (vapp (vabs skv_25 skv_26 skv_27) (vgetSomeExp (vreduce skv_24))) ) 0.70/0.93 ( (vabs skv_28 skv_30 skv_29) ) 0.70/0.93 ( skv_32 ) 0.70/0.93 ( (vapp skv_32 skv_33) ) 0.70/0.93 ( (vvar skv_35) ) 0.70/0.93 ( (vabs skv_36 skv_38 skv_37) ) 0.70/0.93 ( skv_40 ) 0.70/0.93 ( (vvar skv_43) ) 0.70/0.93 ( (vabs skv_44 skv_46 skv_45) ) 0.70/0.93 ( skv_48 ) 0.70/0.93 ( (vapp skv_48 skv_49) ) 0.70/0.93 ( (vvar skv_51) ) 0.70/0.93 ( (vapp (vabs skv_44 skv_46 skv_45) skv_12) ) 0.70/0.93 ( skv_97 ) 0.70/0.93 ( skv_101 ) 0.70/0.93 ( skv_104 ) 0.70/0.93 ( skv_107 ) 0.70/0.93 ( skv_109 ) 0.70/0.93 ( skv_117 ) 0.70/0.93 ( skv_121 ) 0.70/0.93 ( skv_124 ) 0.70/0.93 ( skv_127 ) 0.70/0.93 ( skv_129 ) 0.70/0.93 ( skv_134 ) 0.70/0.93 ( skv_138 ) 0.70/0.93 ( skv_141 ) 0.70/0.93 ( skv_144 ) 0.70/0.93 ( skv_146 ) 0.70/0.93 ( skv_151 ) 0.70/0.93 ( skv_155 ) 0.70/0.93 ( skv_157 ) 0.70/0.93 ( skv_164 ) 0.70/0.93 ( skv_168 ) 0.70/0.93 ( skv_171 ) 0.70/0.93 ( skv_174 ) 0.70/0.93 ( skv_176 ) 0.70/0.93 ( skv_181 ) 0.70/0.93 ( skv_185 ) 0.70/0.93 ( skv_187 ) 0.70/0.93 ( skv_322 ) 0.70/0.93 ) 0.70/0.93 (instantiation (forall ((Vx $$unsorted) (Vv $$unsorted)) (or (not (visFreeVar Vv (vvar Vx))) (= Vx Vv)) ) 0.70/0.93 ( skv_14, skv_14 ) 0.70/0.93 ( skv_35, skv_7 ) 0.70/0.93 ( skv_35, skv_35 ) 0.70/0.93 ( skv_43, skv_43 ) 0.70/0.93 ( skv_51, skv_51 ) 0.70/0.93 ( skv_133, skv_277 ) 0.70/0.93 ( skv_198, skv_44 ) 0.70/0.93 ( skv_222, skv_7 ) 0.70/0.93 ( skv_230, skv_7 ) 0.70/0.93 ) 0.70/0.93 (instantiation (forall ((Vv $$unsorted)) (visFreeVar Vv (vvar Vv)) ) 0.70/0.93 ( skv_14 ) 0.70/0.93 ( skv_21 ) 0.70/0.93 ( skv_35 ) 0.70/0.93 ( skv_43 ) 0.70/0.93 ( skv_51 ) 0.70/0.93 ( skv_113 ) 0.70/0.93 ( skv_133 ) 0.70/0.93 ( skv_150 ) 0.70/0.93 ( skv_180 ) 0.70/0.93 ( skv_198 ) 0.70/0.93 ( skv_206 ) 0.70/0.93 ( skv_214 ) 0.70/0.93 ( skv_222 ) 0.70/0.93 ( skv_230 ) 0.70/0.93 ( skv_238 ) 0.70/0.93 ( skv_246 ) 0.70/0.93 ( skv_254 ) 0.70/0.93 ( skv_262 ) 0.70/0.93 ( skv_270 ) 0.70/0.93 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))) ) 0.70/0.93 ( skv_281 ) 0.70/0.93 ) 0.70/0.93 (instantiation (forall ((VS $$unsorted) (VC $$unsorted) (Ve1 $$unsorted) (Ve2 $$unsorted) (VT $$unsorted)) (or (not (vtcheck VC Ve2 VS)) (not (vtcheck VC Ve1 (varrow VS VT))) (vtcheck VC (vapp Ve1 Ve2) VT)) ) 0.70/0.93 ( skv_13, skv_3, skv_11, skv_12, skv_6 ) 0.70/0.93 ( skv_13, skv_3, (vabs skv_44 skv_46 skv_45), (vgetSomeExp (vreduce skv_12)), skv_6 ) 0.70/0.93 ( skv_34, (vbind skv_7 skv_9 skv_3), skv_32, skv_33, skv_10 ) 0.70/0.93 ( skv_42, skv_3, skv_40, skv_41, skv_13 ) 0.70/0.93 ( skv_50, skv_3, skv_48, skv_49, (varrow skv_13 skv_6) ) 0.70/0.93 ( skv_197, (vbind skv_44 skv_46 skv_3), skv_195, skv_196, skv_47 ) 0.70/0.93 ( skv_205, (vbind skv_36 skv_38 skv_3), skv_203, skv_204, skv_39 ) 0.70/0.93 ( skv_213, (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3)), skv_211, skv_212, skv_31 ) 0.70/0.93 ( skv_221, (vbind skv_7 skv_9 skv_3), skv_219, skv_220, skv_34 ) 0.70/0.93 ( skv_225, (vbind skv_7 skv_9 skv_3), skv_32, skv_33, skv_226 ) 0.70/0.93 ( skv_229, (vbind skv_7 skv_9 skv_3), skv_227, skv_228, (varrow skv_34 skv_10) ) 0.70/0.93 ( skv_237, skv_3, skv_235, skv_236, skv_50 ) 0.70/0.93 ( skv_241, skv_3, skv_48, skv_49, skv_242 ) 0.70/0.93 ( skv_245, skv_3, skv_243, skv_244, (varrow skv_50 (varrow skv_13 skv_6)) ) 0.70/0.93 ( skv_253, skv_3, skv_251, skv_252, skv_42 ) 0.70/0.93 ( skv_257, skv_3, skv_40, skv_41, skv_258 ) 0.70/0.93 ( skv_261, skv_3, skv_259, skv_260, (varrow skv_42 skv_13) ) 0.70/0.93 ( skv_269, skv_3, skv_267, skv_268, skv_6 ) 0.70/0.93 ) 0.70/0.93 (instantiation (forall ((Ve2 $$unsorted) (Ve1 $$unsorted)) (or (not (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= Ve1 (vabs VVx0 VVS0 VVe10))) )) (visSomeExp (vreduce Ve1)) (= vnoExp (vreduce (vapp Ve1 Ve2)))) ) 0.70/0.93 ( skv_12, skv_11 ) 0.70/0.93 ( skv_12, (vgetSomeExp (vreduce skv_11)) ) 0.70/0.93 ( skv_12, (vabs skv_44 skv_46 skv_45) ) 0.70/0.93 ( skv_19, skv_18 ) 0.70/0.93 ( skv_19, (vgetSomeExp (vreduce skv_18)) ) 0.70/0.93 ( skv_22, (vabs skv_21 skv_20 skv_23) ) 0.70/0.93 ( skv_22, (vgetSomeExp (vreduce (vabs skv_21 skv_20 skv_23))) ) 0.70/0.93 ( skv_24, (vabs skv_25 skv_26 skv_27) ) 0.70/0.93 ( skv_24, (vgetSomeExp (vreduce (vabs skv_25 skv_26 skv_27))) ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_24)), (vabs skv_25 skv_26 skv_27) ) 0.70/0.93 ( skv_33, skv_32 ) 0.70/0.93 ( skv_41, skv_40 ) 0.70/0.93 ( skv_49, skv_48 ) 0.70/0.93 ( skv_49, (vgetSomeExp (vreduce skv_48)) ) 0.70/0.93 ( (vvar skv_21), (vapp skv_22 skv_278) ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_12)), (vabs skv_44 skv_46 skv_45) ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_22)), (vabs skv_21 skv_20 skv_23) ) 0.70/0.93 ( skv_97, (vabs skv_98 skv_99 skv_100) ) 0.70/0.93 ( skv_102, skv_101 ) 0.70/0.93 ( skv_102, (vgetSomeExp (vreduce skv_101)) ) 0.70/0.93 ( skv_103, skv_104 ) 0.70/0.93 ( skv_107, (vabs skv_106 skv_105 skv_108) ) 0.70/0.93 ( skv_109, (vabs skv_110 skv_111 skv_112) ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_109)), (vabs skv_110 skv_111 skv_112) ) 0.70/0.93 ( skv_117, (vabs skv_118 skv_119 skv_120) ) 0.70/0.93 ( skv_122, skv_121 ) 0.70/0.93 ( skv_122, (vgetSomeExp (vreduce skv_121)) ) 0.70/0.93 ( skv_123, skv_124 ) 0.70/0.93 ( skv_127, (vabs skv_126 skv_125 skv_128) ) 0.70/0.93 ( skv_129, (vabs skv_130 skv_131 skv_132) ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_129)), (vabs skv_130 skv_131 skv_132) ) 0.70/0.93 ( skv_134, (vabs skv_135 skv_136 skv_137) ) 0.70/0.93 ( skv_139, skv_138 ) 0.70/0.93 ( skv_139, (vgetSomeExp (vreduce skv_138)) ) 0.70/0.93 ( skv_140, skv_141 ) 0.70/0.93 ( skv_144, (vabs skv_143 skv_142 skv_145) ) 0.70/0.93 ( skv_146, (vabs skv_147 skv_148 skv_149) ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_146)), (vabs skv_147 skv_148 skv_149) ) 0.70/0.93 ( skv_152, skv_151 ) 0.70/0.93 ( skv_152, (vgetSomeExp (vreduce skv_151)) ) 0.70/0.93 ( skv_155, (vabs skv_154 skv_153 skv_156) ) 0.70/0.93 ( skv_157, (vabs skv_158 skv_159 skv_160) ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_157)), (vabs skv_158 skv_159 skv_160) ) 0.70/0.93 ( skv_164, (vabs skv_165 skv_166 skv_167) ) 0.70/0.93 ( skv_169, skv_168 ) 0.70/0.93 ( skv_169, (vgetSomeExp (vreduce skv_168)) ) 0.70/0.93 ( skv_170, skv_171 ) 0.70/0.93 ( skv_174, (vabs skv_173 skv_172 skv_175) ) 0.70/0.93 ( skv_176, (vabs skv_177 skv_178 skv_179) ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_176)), (vabs skv_177 skv_178 skv_179) ) 0.70/0.93 ( skv_182, skv_181 ) 0.70/0.93 ( skv_182, (vgetSomeExp (vreduce skv_181)) ) 0.70/0.93 ( skv_185, (vabs skv_184 skv_183 skv_186) ) 0.70/0.93 ( skv_187, (vabs skv_188 skv_189 skv_190) ) 0.70/0.93 ( (vgetSomeExp (vreduce skv_187)), (vabs skv_188 skv_189 skv_190) ) 0.70/0.93 ( skv_196, skv_195 ) 0.70/0.93 ( skv_204, skv_203 ) 0.70/0.93 ( skv_212, skv_211 ) 0.70/0.93 ( skv_220, skv_219 ) 0.70/0.93 ( skv_228, skv_227 ) 0.70/0.93 ( skv_236, skv_235 ) 0.70/0.93 ( skv_244, skv_243 ) 0.70/0.93 ( skv_252, skv_251 ) 0.70/0.93 ( skv_260, skv_259 ) 0.70/0.93 ( skv_268, skv_267 ) 0.70/0.93 ( skv_278, skv_22 ) 0.70/0.93 ( skv_280, skv_279 ) 0.70/0.93 ( (vsubst skv_21 skv_22 skv_280), (vsubst skv_21 skv_22 skv_279) ) 0.70/0.93 ( skv_322, (vabs skv_323 skv_324 skv_325) ) 0.70/0.93 ) 0.70/0.93 (instantiation (forall ((Vx $$unsorted) (VS $$unsorted) (VC $$unsorted) (Ve $$unsorted) (VT $$unsorted)) (or (not (vtcheck (vbind Vx VS VC) Ve VT)) (visFreeVar Vx Ve) (vtcheck VC Ve VT)) ) 0.70/0.93 ( skv_7, skv_9, skv_3, skv_8, skv_10 ) 0.70/0.93 ( skv_7, skv_9, skv_3, (vabs skv_28 skv_30 skv_29), (varrow skv_30 skv_31) ) 0.70/0.93 ( skv_7, skv_9, skv_3, skv_32, (varrow skv_34 skv_10) ) 0.70/0.93 ( skv_7, skv_9, skv_3, skv_33, skv_34 ) 0.70/0.93 ( skv_7, skv_9, skv_3, (vapp skv_32 skv_33), skv_10 ) 0.70/0.93 ( skv_7, skv_9, skv_3, skv_219, (varrow skv_221 skv_34) ) 0.70/0.93 ( skv_7, skv_9, skv_3, skv_220, skv_221 ) 0.70/0.93 ( skv_7, skv_9, skv_3, skv_227, (varrow skv_229 (varrow skv_34 skv_10)) ) 0.70/0.93 ( skv_7, skv_9, skv_3, skv_228, skv_229 ) 0.70/0.93 ( skv_7, skv_9, (vbind skv_1 skv_2 skv_3), (vvar skv_35), skv_10 ) 0.70/0.93 ( skv_14, skv_53, skv_52, (vabs skv_4 skv_5 veabs), skv_6 ) 0.70/0.93 ( skv_14, skv_53, skv_52, (vabs skv_7 skv_9 skv_8), (varrow skv_9 skv_10) ) 0.70/0.93 ( skv_14, skv_53, skv_52, skv_12, skv_13 ) 0.70/0.93 ( skv_14, skv_53, skv_52, (vapp skv_11 skv_12), skv_6 ) 0.70/0.93 ( skv_14, skv_53, skv_52, (vabs skv_36 skv_38 skv_37), (varrow skv_38 skv_39) ) 0.70/0.93 ( skv_14, skv_53, skv_52, skv_40, (varrow skv_42 skv_13) ) 0.70/0.93 ( skv_14, skv_53, skv_52, skv_41, skv_42 ) 0.70/0.93 ( skv_14, skv_53, skv_52, (vapp skv_40 skv_41), skv_13 ) 0.70/0.93 ( skv_14, skv_53, skv_52, (vabs skv_44 skv_46 skv_45), (varrow skv_46 skv_47) ) 0.70/0.93 ( skv_14, skv_53, skv_52, skv_48, (varrow skv_50 (varrow skv_13 skv_6)) ) 0.70/0.93 ( skv_14, skv_53, skv_52, skv_49, skv_50 ) 0.70/0.93 ( skv_14, skv_53, skv_52, (vapp skv_48 skv_49), (varrow skv_13 skv_6) ) 0.70/0.93 ( skv_14, skv_53, skv_52, (vabs skv_7 skv_9 skv_32), (varrow skv_9 (varrow skv_34 skv_10)) ) 0.70/0.93 ( skv_14, skv_53, skv_52, (vabs skv_7 skv_9 skv_33), (varrow skv_9 skv_34) ) 0.70/0.93 ( skv_14, skv_53, skv_52, skv_235, (varrow skv_237 skv_50) ) 0.70/0.93 ( skv_14, skv_53, skv_52, skv_236, skv_237 ) 0.70/0.93 ( skv_14, skv_53, skv_52, skv_243, (varrow skv_245 (varrow skv_50 (varrow skv_13 skv_6))) ) 0.70/0.93 ( skv_14, skv_53, skv_52, skv_244, skv_245 ) 0.70/0.93 ( skv_14, skv_53, skv_52, skv_251, (varrow skv_253 skv_42) ) 0.70/0.93 ( skv_14, skv_53, skv_52, skv_252, skv_253 ) 0.70/0.93 ( skv_14, skv_53, skv_52, skv_259, (varrow skv_261 (varrow skv_42 skv_13)) ) 0.70/0.93 ( skv_14, skv_53, skv_52, skv_260, skv_261 ) 0.70/0.93 ( skv_14, skv_53, skv_52, skv_267, (varrow skv_269 skv_6) ) 0.70/0.93 ( skv_14, skv_53, skv_52, skv_268, skv_269 ) 0.70/0.93 ( skv_28, skv_30, (vbind skv_7 skv_9 skv_3), skv_29, skv_31 ) 0.70/0.93 ( skv_28, skv_30, (vbind skv_7 skv_9 skv_3), skv_211, (varrow skv_213 skv_31) ) 0.70/0.93 ( skv_28, skv_30, (vbind skv_7 skv_9 skv_3), skv_212, skv_213 ) 0.70/0.93 ( skv_35, skv_293, skv_292, skv_8, skv_10 ) 0.70/0.93 ( skv_35, skv_293, skv_292, skv_32, (varrow skv_34 skv_10) ) 0.70/0.93 ( skv_35, skv_293, skv_292, skv_33, skv_34 ) 0.70/0.93 ( skv_36, skv_38, skv_3, skv_37, skv_39 ) 0.70/0.93 ( skv_36, skv_38, skv_3, skv_203, (varrow skv_205 skv_39) ) 0.70/0.93 ( skv_36, skv_38, skv_3, skv_204, skv_205 ) 0.70/0.93 ( skv_44, skv_46, skv_3, skv_45, skv_47 ) 0.70/0.93 ( skv_44, skv_46, skv_3, skv_195, (varrow skv_197 skv_47) ) 0.70/0.93 ( skv_44, skv_46, skv_3, skv_196, skv_197 ) 0.70/0.93 ( skv_65, skv_64, skv_66, (vabs skv_4 skv_5 veabs), skv_6 ) 0.70/0.93 ( skv_65, skv_64, skv_66, (vabs skv_7 skv_9 skv_8), (varrow skv_9 skv_10) ) 0.70/0.93 ( skv_65, skv_64, skv_66, skv_11, (varrow skv_13 skv_6) ) 0.70/0.93 ( skv_65, skv_64, skv_66, skv_12, skv_13 ) 0.70/0.93 ( skv_65, skv_64, skv_66, (vapp skv_11 skv_12), skv_6 ) 0.70/0.93 ( skv_65, skv_64, skv_66, skv_40, (varrow skv_42 skv_13) ) 0.70/0.93 ( skv_65, skv_64, skv_66, skv_41, skv_42 ) 0.70/0.93 ( skv_65, skv_64, skv_66, skv_48, (varrow skv_50 (varrow skv_13 skv_6)) ) 0.70/0.93 ( skv_65, skv_64, skv_66, skv_49, skv_50 ) 0.70/0.93 ( skv_191, skv_193, (vbind skv_44 skv_46 skv_3), skv_192, skv_194 ) 0.70/0.93 ( skv_199, skv_201, (vbind skv_36 skv_38 skv_3), skv_200, skv_202 ) 0.70/0.93 ( skv_207, skv_209, (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3)), skv_208, skv_210 ) 0.70/0.93 ( skv_215, skv_217, (vbind skv_7 skv_9 skv_3), skv_216, skv_218 ) 0.70/0.93 ( skv_223, skv_225, (vbind skv_7 skv_9 skv_3), skv_224, skv_226 ) 0.70/0.93 ( skv_231, skv_233, skv_3, skv_232, skv_234 ) 0.70/0.93 ( skv_239, skv_241, skv_3, skv_240, skv_242 ) 0.70/0.93 ( skv_247, skv_249, skv_3, skv_248, skv_250 ) 0.70/0.93 ( skv_255, skv_257, skv_3, skv_256, skv_258 ) 0.70/0.93 ( skv_263, skv_265, skv_3, skv_264, skv_266 ) 0.70/0.93 ) 0.70/0.93 (instantiation (forall ((Vx $$unsorted) (VS $$unsorted) (VC $$unsorted) (VT $$unsorted)) (or (visFreeVar Vx veabs) (not (vtcheck VC veabs VT)) (vtcheck (vbind Vx VS VC) veabs VT)) ) 0.70/0.93 ( skv_1, skv_2, skv_3, skv_10 ) 0.70/0.93 ( skv_1, skv_2, (vbind skv_7 skv_9 skv_3), skv_10 ) 0.70/0.93 ( skv_1, skv_58, skv_57, skv_10 ) 0.70/0.93 ( skv_1, skv_313, skv_312, skv_10 ) 0.70/0.93 ( skv_7, skv_9, (vbind skv_1 skv_2 skv_3), skv_10 ) 0.70/0.93 ( skv_7, skv_9, (vbind skv_65 skv_64 skv_66), skv_10 ) 0.70/0.93 ( skv_14, skv_53, skv_52, skv_10 ) 0.70/0.93 ( skv_65, skv_64, skv_66, skv_10 ) 0.70/0.93 ( skv_65, skv_64, (vbind skv_7 skv_9 skv_66), skv_10 ) 0.70/0.93 ( skv_315, skv_314, skv_316, skv_10 ) 0.70/0.93 ) 0.70/0.93 (instantiation (forall ((Vx $$unsorted) (VS $$unsorted) (VC $$unsorted) (Ve $$unsorted) (VT $$unsorted)) (or (not (vtcheck VC Ve VT)) (not (= vnoType (vlookup Vx VC))) (vtcheck (vbind Vx VS VC) Ve VT)) ) 0.70/0.93 ( skv_1, skv_2, skv_3, veabs, skv_10 ) 0.70/0.93 ( skv_1, skv_2, skv_3, (vabs skv_4 skv_5 veabs), skv_6 ) 0.70/0.93 ( skv_1, skv_2, skv_3, (vabs skv_4 skv_5 veabs), (varrow skv_5 skv_10) ) 0.70/0.93 ( skv_1, skv_2, skv_3, skv_8, skv_10 ) 0.70/0.93 ( skv_1, skv_2, skv_3, (vabs skv_7 skv_9 skv_8), (varrow skv_9 skv_10) ) 0.70/0.93 ( skv_1, skv_2, skv_3, (vvar skv_14), skv_6 ) 0.70/0.93 ( skv_1, skv_2, (vbind skv_7 skv_9 skv_3), skv_8, skv_10 ) 0.70/0.93 ( skv_1, skv_2, (vbind skv_65 skv_64 skv_66), (vabs skv_4 skv_5 veabs), skv_6 ) 0.70/0.93 ( skv_1, skv_2, (vbind skv_65 skv_64 skv_66), (vabs skv_7 skv_9 skv_8), (varrow skv_9 skv_10) ) 0.70/0.93 ( skv_1, skv_2, (vbind skv_65 skv_64 skv_66), (vvar skv_14), skv_6 ) 0.70/0.93 ( skv_1, skv_58, skv_57, (vabs skv_4 skv_5 veabs), skv_6 ) 0.70/0.93 ( skv_1, skv_58, skv_57, (vabs skv_7 skv_9 skv_8), (varrow skv_9 skv_10) ) 0.70/0.93 ( skv_1, skv_58, skv_57, (vvar skv_14), skv_6 ) 0.70/0.93 ( skv_1, skv_313, skv_312, veabs, skv_10 ) 0.70/0.93 ( skv_1, skv_313, skv_312, (vabs skv_4 skv_5 veabs), skv_6 ) 0.70/0.93 ( skv_1, skv_313, skv_312, skv_8, skv_10 ) 0.70/0.93 ( skv_1, skv_313, skv_312, (vabs skv_7 skv_9 skv_8), (varrow skv_9 skv_10) ) 0.70/0.93 ( skv_1, skv_313, skv_312, skv_11, (varrow skv_13 skv_6) ) 0.70/0.93 ( skv_1, skv_313, skv_312, skv_12, skv_13 ) 0.70/0.93 ( skv_1, skv_313, skv_312, (vapp skv_11 skv_12), skv_6 ) 0.70/0.93 ( skv_1, skv_313, skv_312, skv_40, (varrow skv_42 skv_13) ) 0.70/0.93 ( skv_1, skv_313, skv_312, skv_41, skv_42 ) 0.70/0.93 ( skv_1, skv_313, skv_312, skv_48, (varrow skv_50 (varrow skv_13 skv_6)) ) 0.70/0.93 ( skv_1, skv_313, skv_312, skv_49, skv_50 ) 0.70/0.93 ( skv_1, skv_313, skv_312, (vabs skv_65 skv_64 (vabs skv_4 skv_5 veabs)), (varrow skv_64 skv_6) ) 0.70/0.93 ( skv_1, skv_313, skv_312, (vabs skv_65 skv_64 skv_11), (varrow skv_64 (varrow skv_13 skv_6)) ) 0.70/0.93 ( skv_1, skv_313, skv_312, (vabs skv_65 skv_64 skv_12), (varrow skv_64 skv_13) ) 0.70/0.93 ( skv_1, skv_313, skv_312, (vabs skv_65 skv_64 (vabs skv_7 skv_9 skv_8)), (varrow skv_64 (varrow skv_9 skv_10)) ) 0.70/0.94 ( skv_1, skv_313, skv_312, (vabs skv_65 skv_64 (vapp skv_11 skv_12)), (varrow skv_64 skv_6) ) 0.70/0.94 ( skv_1, skv_313, skv_312, (vabs skv_65 skv_64 skv_40), (varrow skv_64 (varrow skv_42 skv_13)) ) 0.70/0.94 ( skv_1, skv_313, skv_312, (vabs skv_65 skv_64 skv_41), (varrow skv_64 skv_42) ) 0.70/0.94 ( skv_1, skv_313, skv_312, (vabs skv_65 skv_64 skv_48), (varrow skv_64 (varrow skv_50 (varrow skv_13 skv_6))) ) 0.70/0.94 ( skv_1, skv_313, skv_312, (vabs skv_65 skv_64 skv_49), (varrow skv_64 skv_50) ) 0.70/0.94 ( skv_7, skv_9, skv_3, skv_29, skv_31 ) 0.70/0.94 ( skv_7, skv_9, (vbind skv_1 skv_2 skv_3), veabs, skv_10 ) 0.70/0.94 ( skv_7, skv_9, (vbind skv_1 skv_2 skv_3), skv_8, skv_10 ) 0.70/0.94 ( skv_7, skv_9, (vbind skv_1 skv_2 skv_3), (vabs skv_28 skv_30 skv_29), (varrow skv_30 skv_31) ) 0.70/0.94 ( skv_7, skv_9, (vbind skv_65 skv_64 skv_66), skv_8, skv_10 ) 0.70/0.94 ( skv_7, skv_9, (vbind skv_65 skv_64 skv_66), skv_32, (varrow skv_34 skv_10) ) 0.70/0.94 ( skv_7, skv_9, (vbind skv_65 skv_64 skv_66), skv_33, skv_34 ) 0.70/0.94 ( skv_7, skv_9, (vbind skv_28 skv_30 skv_3), skv_29, skv_31 ) 0.70/0.94 ( skv_14, skv_53, skv_52, skv_8, skv_10 ) 0.70/0.94 ( skv_14, skv_53, skv_52, skv_11, (varrow skv_13 skv_6) ) 0.70/0.94 ( skv_14, skv_53, skv_52, (vvar skv_14), skv_6 ) 0.70/0.94 ( skv_14, skv_53, skv_52, (vabs skv_28 skv_30 skv_29), (varrow skv_30 skv_31) ) 0.70/0.94 ( skv_14, skv_53, skv_52, skv_32, (varrow skv_34 skv_10) ) 0.70/0.94 ( skv_14, skv_53, skv_52, skv_33, skv_34 ) 0.70/0.94 ( skv_14, skv_53, skv_52, (vvar skv_35), skv_10 ) 0.70/0.94 ( skv_14, skv_53, skv_52, skv_37, skv_39 ) 0.70/0.94 ( skv_14, skv_53, skv_52, skv_45, skv_47 ) 0.70/0.94 ( skv_28, skv_30, skv_3, skv_29, skv_31 ) 0.70/0.94 ( skv_28, skv_30, (vbind skv_7 skv_9 skv_3), skv_29, skv_31 ) 0.70/0.94 ( skv_28, skv_30, (vbind skv_7 skv_9 (vbind skv_1 skv_2 skv_3)), skv_29, skv_31 ) 0.70/0.94 ( skv_36, skv_38, skv_3, skv_37, skv_39 ) 0.70/0.94 ( skv_36, skv_38, (vbind skv_65 skv_64 skv_66), skv_37, skv_39 ) 0.70/0.94 ( skv_44, skv_46, (vbind skv_65 skv_64 skv_66), skv_45, skv_47 ) 0.70/0.94 ( skv_60, skv_59, skv_61, (vabs skv_4 skv_5 veabs), skv_6 ) 0.70/0.94 ( skv_65, skv_64, skv_66, veabs, skv_10 ) 0.70/0.94 ( skv_65, skv_64, skv_66, (vabs skv_4 skv_5 veabs), skv_6 ) 0.70/0.94 ( skv_65, skv_64, skv_66, skv_8, skv_10 ) 0.70/0.94 ( skv_65, skv_64, skv_66, (vabs skv_7 skv_9 skv_8), (varrow skv_9 skv_10) ) 0.70/0.94 ( skv_65, skv_64, skv_66, skv_11, (varrow skv_13 skv_6) ) 0.70/0.94 ( skv_65, skv_64, skv_66, skv_12, skv_13 ) 0.70/0.94 ( skv_65, skv_64, skv_66, (vapp skv_11 skv_12), skv_6 ) 0.70/0.94 ( skv_65, skv_64, skv_66, skv_40, (varrow skv_42 skv_13) ) 0.70/0.94 ( skv_65, skv_64, skv_66, skv_41, skv_42 ) 0.70/0.94 ( skv_65, skv_64, skv_66, skv_48, (varrow skv_50 (varrow skv_13 skv_6)) ) 0.70/0.94 ( skv_65, skv_64, skv_66, skv_49, skv_50 ) 0.70/0.94 ( skv_65, skv_64, (vbind skv_7 skv_9 skv_66), skv_8, skv_10 ) 0.70/0.94 ( skv_65, skv_64, (vbind skv_7 skv_9 skv_66), skv_32, (varrow skv_34 skv_10) ) 0.70/0.94 ( skv_65, skv_64, (vbind skv_7 skv_9 skv_66), skv_33, skv_34 ) 0.70/0.94 ( skv_65, skv_64, (vbind skv_36 skv_38 skv_66), skv_37, skv_39 ) 0.70/0.94 ( skv_65, skv_64, (vbind skv_44 skv_46 skv_66), skv_45, skv_47 ) 0.70/0.94 ( skv_65, skv_64, (vbind skv_1 skv_2 skv_66), (vabs skv_4 skv_5 veabs), skv_6 ) 0.70/0.94 ( skv_65, skv_64, (vbind skv_1 skv_2 skv_66), (vabs skv_7 skv_9 skv_8), (varrow skv_9 skv_10) ) 0.70/0.94 ( skv_65, skv_64, (vbind skv_1 skv_2 skv_66), (vvar skv_14), skv_6 ) 0.70/0.94 ( skv_320, skv_319, skv_321, (vabs skv_4 skv_5 veabs), skv_6 ) 0.70/0.94 ) 0.70/0.94 (instantiation (forall ((Vx $$unsorted)) (or (not (= (vvar Vx) (vabs skv_4 skv_5 veabs))) (not (= (vlookup Vx skv_3) (vsomeType skv_6)))) ) 0.70/0.94 ( skv_1 ) 0.70/0.94 ( skv_14 ) 0.70/0.94 ( skv_21 ) 0.70/0.94 ( skv_35 ) 0.70/0.94 ( skv_43 ) 0.70/0.94 ( skv_51 ) 0.70/0.94 ( skv_55 ) 0.70/0.94 ( skv_113 ) 0.70/0.94 ( skv_133 ) 0.70/0.94 ( skv_150 ) 0.70/0.94 ( skv_180 ) 0.70/0.94 ( skv_198 ) 0.70/0.94 ( skv_206 ) 0.70/0.94 ( skv_214 ) 0.70/0.94 ( skv_222 ) 0.70/0.94 ( skv_230 ) 0.70/0.94 ( skv_238 ) 0.70/0.94 ( skv_246 ) 0.70/0.94 ( skv_254 ) 0.70/0.94 ( skv_262 ) 0.70/0.94 ( skv_270 ) 0.70/0.94 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))) ) 0.70/0.94 ( skv_281 ) 0.70/0.94 ) 0.70/0.94 (instantiation (forall ((Ve1 $$unsorted) (Ve2 $$unsorted) (VS $$unsorted)) (or (not (vtcheck skv_3 Ve1 (varrow VS skv_6))) (not (vtcheck skv_3 Ve2 VS)) (not (= (vapp Ve1 Ve2) (vabs skv_4 skv_5 veabs)))) ) 0.70/0.94 ( skv_11, skv_12, skv_13 ) 0.70/0.94 ( (vabs skv_44 skv_46 skv_45), skv_12, skv_13 ) 0.70/0.94 ( (vapp skv_48 skv_49), (vabs skv_36 skv_38 skv_37), skv_13 ) 0.70/0.94 ( skv_267, skv_268, skv_269 ) 0.70/0.94 ) 0.70/0.94 (instantiation (forall ((VTy $$unsorted) (Vy $$unsorted) (VC $$unsorted)) (or (not (= (vbind Vy VTy VC) skv_3)) (= Vy skv_1) (not (= (vlookup skv_1 skv_3) (vlookup skv_1 VC)))) ) 0.70/0.94 ( skv_2, skv_1, skv_3 ) 0.70/0.94 ( skv_2, skv_1, (vbind skv_7 skv_9 skv_3) ) 0.70/0.94 ( skv_2, skv_1, skv_66 ) 0.70/0.94 ( skv_2, skv_1, (vbind skv_65 skv_64 skv_66) ) 0.70/0.94 ( skv_9, skv_7, skv_3 ) 0.70/0.94 ( skv_9, skv_7, (vbind skv_1 skv_2 skv_3) ) 0.70/0.94 ( skv_9, skv_7, skv_66 ) 0.70/0.94 ( skv_9, skv_7, (vbind skv_65 skv_64 skv_66) ) 0.70/0.94 ( skv_9, skv_7, (vbind skv_28 skv_30 skv_3) ) 0.70/0.94 ( skv_30, skv_28, skv_3 ) 0.70/0.94 ( skv_30, skv_28, (vbind skv_7 skv_9 skv_3) ) 0.70/0.94 ( skv_30, skv_28, (vbind skv_7 skv_9 (vbind skv_1 skv_2 skv_3)) ) 0.70/0.94 ( skv_38, skv_36, skv_3 ) 0.70/0.94 ( skv_38, skv_36, skv_66 ) 0.70/0.94 ( skv_38, skv_36, (vbind skv_65 skv_64 skv_66) ) 0.70/0.94 ( skv_46, skv_44, skv_3 ) 0.70/0.94 ( skv_46, skv_44, skv_66 ) 0.70/0.94 ( skv_46, skv_44, (vbind skv_65 skv_64 skv_66) ) 0.70/0.94 ( skv_53, skv_14, skv_52 ) 0.70/0.94 ( skv_54, skv_55, skv_56 ) 0.70/0.94 ( skv_58, skv_1, skv_57 ) 0.70/0.94 ( skv_59, skv_60, skv_61 ) 0.70/0.94 ( skv_63, skv_1, skv_62 ) 0.70/0.94 ( skv_64, skv_65, skv_66 ) 0.70/0.94 ( skv_64, skv_65, (vbind skv_7 skv_9 skv_66) ) 0.70/0.94 ( skv_64, skv_65, (vbind skv_36 skv_38 skv_66) ) 0.70/0.94 ( skv_64, skv_65, (vbind skv_44 skv_46 skv_66) ) 0.70/0.94 ( skv_64, skv_65, (vbind skv_1 skv_2 skv_66) ) 0.70/0.94 ( skv_193, skv_191, (vbind skv_44 skv_46 skv_3) ) 0.70/0.94 ( skv_201, skv_199, (vbind skv_36 skv_38 skv_3) ) 0.70/0.94 ( skv_209, skv_207, (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3)) ) 0.70/0.94 ( skv_217, skv_215, (vbind skv_7 skv_9 skv_3) ) 0.70/0.94 ( skv_225, skv_223, (vbind skv_7 skv_9 skv_3) ) 0.70/0.94 ( skv_233, skv_231, skv_3 ) 0.70/0.94 ( skv_241, skv_239, skv_3 ) 0.70/0.94 ( skv_249, skv_247, skv_3 ) 0.70/0.94 ( skv_257, skv_255, skv_3 ) 0.70/0.94 ( skv_265, skv_263, skv_3 ) 0.70/0.94 ( skv_283, skv_51, skv_282 ) 0.70/0.94 ( skv_284, skv_285, skv_286 ) 0.70/0.94 ( skv_288, skv_43, skv_287 ) 0.70/0.94 ( skv_289, skv_290, skv_291 ) 0.70/0.94 ( skv_293, skv_35, skv_292 ) 0.70/0.94 ( skv_294, skv_295, skv_296 ) 0.70/0.94 ( skv_298, skv_14, skv_297 ) 0.70/0.94 ( skv_299, skv_300, skv_301 ) 0.70/0.94 ( skv_303, skv_14, skv_302 ) 0.70/0.94 ( skv_304, skv_305, skv_306 ) 0.70/0.94 ( skv_308, skv_7, skv_307 ) 0.70/0.94 ( skv_309, skv_310, skv_311 ) 0.70/0.94 ( skv_313, skv_1, skv_312 ) 0.70/0.94 ( skv_314, skv_315, skv_316 ) 0.70/0.94 ( skv_318, skv_1, skv_317 ) 0.70/0.94 ( skv_319, skv_320, skv_321 ) 0.70/0.94 ) 0.70/0.94 (instantiation (let ((_let_0 (vbind skv_1 skv_2 skv_3))) (forall ((VTy $$unsorted) (Vy $$unsorted) (VC $$unsorted)) (or (not (= (vbind Vy VTy VC) _let_0)) (= Vy skv_1) (not (= (vlookup skv_1 _let_0) (vlookup skv_1 VC)))) )) 0.70/0.94 ( skv_2, skv_1, skv_3 ) 0.70/0.94 ( skv_2, skv_1, (vbind skv_7 skv_9 skv_3) ) 0.70/0.94 ( skv_2, skv_1, skv_66 ) 0.70/0.94 ( skv_2, skv_1, (vbind skv_65 skv_64 skv_66) ) 0.70/0.94 ( skv_9, skv_7, skv_3 ) 0.70/0.94 ( skv_9, skv_7, (vbind skv_1 skv_2 skv_3) ) 0.70/0.94 ( skv_9, skv_7, skv_66 ) 0.70/0.94 ( skv_9, skv_7, (vbind skv_65 skv_64 skv_66) ) 0.70/0.94 ( skv_9, skv_7, (vbind skv_28 skv_30 skv_3) ) 0.70/0.94 ( skv_30, skv_28, skv_3 ) 0.70/0.94 ( skv_30, skv_28, (vbind skv_7 skv_9 skv_3) ) 0.70/0.94 ( skv_30, skv_28, (vbind skv_7 skv_9 (vbind skv_1 skv_2 skv_3)) ) 0.70/0.94 ( skv_38, skv_36, skv_3 ) 0.70/0.94 ( skv_38, skv_36, skv_66 ) 0.70/0.94 ( skv_38, skv_36, (vbind skv_65 skv_64 skv_66) ) 0.70/0.94 ( skv_46, skv_44, skv_3 ) 0.70/0.94 ( skv_46, skv_44, skv_66 ) 0.70/0.94 ( skv_46, skv_44, (vbind skv_65 skv_64 skv_66) ) 0.70/0.94 ( skv_53, skv_14, skv_52 ) 0.70/0.94 ( skv_54, skv_55, skv_56 ) 0.70/0.94 ( skv_58, skv_1, skv_57 ) 0.70/0.94 ( skv_59, skv_60, skv_61 ) 0.70/0.94 ( skv_63, skv_1, skv_62 ) 0.70/0.94 ( skv_64, skv_65, skv_66 ) 0.70/0.94 ( skv_64, skv_65, (vbind skv_7 skv_9 skv_66) ) 0.70/0.94 ( skv_64, skv_65, (vbind skv_36 skv_38 skv_66) ) 0.70/0.94 ( skv_64, skv_65, (vbind skv_44 skv_46 skv_66) ) 0.70/0.94 ( skv_64, skv_65, (vbind skv_1 skv_2 skv_66) ) 0.70/0.94 ( skv_193, skv_191, (vbind skv_44 skv_46 skv_3) ) 0.70/0.94 ( skv_201, skv_199, (vbind skv_36 skv_38 skv_3) ) 0.70/0.94 ( skv_209, skv_207, (vbind skv_28 skv_30 (vbind skv_7 skv_9 skv_3)) ) 0.70/0.94 ( skv_217, skv_215, (vbind skv_7 skv_9 skv_3) ) 0.70/0.94 ( skv_225, skv_223, (vbind skv_7 skv_9 skv_3) ) 0.70/0.94 ( skv_233, skv_231, skv_3 ) 0.70/0.94 ( skv_241, skv_239, skv_3 ) 0.70/0.94 ( skv_249, skv_247, skv_3 ) 0.70/0.94 ( skv_257, skv_255, skv_3 ) 0.70/0.94 ( skv_265, skv_263, skv_3 ) 0.70/0.94 ( skv_283, skv_51, skv_282 ) 0.70/0.94 ( skv_284, skv_285, skv_286 ) 0.70/0.94 ( skv_288, skv_43, skv_287 ) 0.70/0.94 ( skv_289, skv_290, skv_291 ) 0.70/0.94 ( skv_293, skv_35, skv_292 ) 0.70/0.94 ( skv_294, skv_295, skv_296 ) 0.70/0.94 ( skv_298, skv_14, skv_297 ) 0.70/0.94 ( skv_299, skv_300, skv_301 ) 0.70/0.94 ( skv_303, skv_14, skv_302 ) 0.70/0.94 ( skv_304, skv_305, skv_306 ) 0.70/0.94 ( skv_308, skv_7, skv_307 ) 0.70/0.94 ( skv_309, skv_310, skv_311 ) 0.70/0.94 ( skv_313, skv_1, skv_312 ) 0.70/0.94 ( skv_314, skv_315, skv_316 ) 0.70/0.94 ( skv_318, skv_1, skv_317 ) 0.70/0.94 ( skv_319, skv_320, skv_321 ) 0.70/0.94 ) 0.70/0.94 (instantiation (forall ((Vx $$unsorted)) (or (not (= (vvar Vx) skv_11)) (not (= (vlookup Vx skv_3) (vsomeType (varrow skv_13 skv_6))))) ) 0.70/0.94 ( skv_1 ) 0.70/0.94 ( skv_14 ) 0.70/0.94 ( skv_21 ) 0.70/0.94 ( skv_35 ) 0.70/0.94 ( skv_43 ) 0.70/0.94 ( skv_51 ) 0.70/0.94 ( skv_55 ) 0.70/0.94 ( skv_113 ) 0.70/0.94 ( skv_133 ) 0.70/0.94 ( skv_150 ) 0.70/0.94 ( skv_180 ) 0.70/0.94 ( skv_198 ) 0.70/0.94 ( skv_206 ) 0.70/0.94 ( skv_214 ) 0.70/0.94 ( skv_222 ) 0.70/0.94 ( skv_230 ) 0.70/0.94 ( skv_238 ) 0.70/0.94 ( skv_246 ) 0.70/0.94 ( skv_254 ) 0.70/0.94 ( skv_262 ) 0.70/0.94 ( skv_270 ) 0.70/0.94 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))) ) 0.70/0.94 ( skv_281 ) 0.70/0.94 ) 0.70/0.94 (instantiation (forall ((Ve1 $$unsorted) (Ve2 $$unsorted) (VS $$unsorted)) (or (not (vtcheck skv_3 Ve1 (varrow VS (varrow skv_13 skv_6)))) (not (vtcheck skv_3 Ve2 VS)) (not (= (vapp Ve1 Ve2) skv_11))) ) 0.70/0.94 ( skv_48, skv_49, skv_50 ) 0.70/0.94 ) 0.70/0.94 (instantiation (forall ((Vx $$unsorted) (Ve2 $$unsorted) (VT1 $$unsorted) (VT2 $$unsorted)) (or (not (vtcheck (vbind Vx VT1 skv_3) Ve2 VT2)) (not (= (varrow VT1 VT2) (varrow skv_13 skv_6))) (not (= (vabs Vx VT1 Ve2) skv_11))) ) 0.70/0.94 ( skv_7, skv_8, skv_9, skv_10 ) 0.70/0.94 ( skv_7, (vabs skv_28 skv_30 skv_29), skv_9, (varrow skv_30 skv_31) ) 0.70/0.94 ( skv_7, skv_32, skv_9, (varrow skv_34 skv_10) ) 0.70/0.94 ( skv_7, skv_33, skv_9, skv_34 ) 0.70/0.94 ( skv_7, (vapp skv_32 skv_33), skv_9, skv_10 ) 0.70/0.94 ( skv_7, skv_219, skv_9, (varrow skv_221 skv_34) ) 0.70/0.94 ( skv_7, skv_220, skv_9, skv_221 ) 0.70/0.94 ( skv_7, skv_227, skv_9, (varrow skv_229 (varrow skv_34 skv_10)) ) 0.70/0.94 ( skv_7, skv_228, skv_9, skv_229 ) 0.70/0.94 ( skv_36, skv_203, skv_38, (varrow skv_205 skv_39) ) 0.70/0.94 ( skv_36, skv_204, skv_38, skv_205 ) 0.70/0.94 ( skv_44, skv_45, skv_46, skv_47 ) 0.70/0.94 ( skv_44, skv_195, skv_46, (varrow skv_197 skv_47) ) 0.70/0.94 ( skv_44, skv_196, skv_46, skv_197 ) 0.70/0.94 ( skv_231, skv_232, skv_233, skv_234 ) 0.70/0.94 ( skv_239, skv_240, skv_241, skv_242 ) 0.70/0.94 ( skv_247, skv_248, skv_249, skv_250 ) 0.70/0.94 ( skv_255, skv_256, skv_257, skv_258 ) 0.70/0.94 ( skv_263, skv_264, skv_265, skv_266 ) 0.70/0.94 ) 0.70/0.94 (instantiation (forall ((Vx $$unsorted)) (or (not (= (vvar Vx) skv_12)) (not (= (vlookup Vx skv_3) (vsomeType skv_13)))) ) 0.70/0.94 ( skv_1 ) 0.70/0.94 ( skv_14 ) 0.70/0.94 ( skv_21 ) 0.70/0.94 ( skv_35 ) 0.70/0.94 ( skv_43 ) 0.70/0.94 ( skv_51 ) 0.70/0.94 ( skv_55 ) 0.70/0.94 ( skv_113 ) 0.70/0.94 ( skv_133 ) 0.70/0.94 ( skv_150 ) 0.70/0.94 ( skv_180 ) 0.70/0.94 ( skv_198 ) 0.70/0.94 ( skv_206 ) 0.70/0.94 ( skv_214 ) 0.70/0.94 ( skv_222 ) 0.70/0.94 ( skv_230 ) 0.70/0.94 ( skv_238 ) 0.70/0.94 ( skv_246 ) 0.70/0.94 ( skv_254 ) 0.70/0.94 ( skv_262 ) 0.70/0.94 ( skv_270 ) 0.70/0.94 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))) ) 0.70/0.94 ( skv_281 ) 0.70/0.94 ) 0.70/0.94 (instantiation (forall ((Vx $$unsorted) (Ve2 $$unsorted) (VT1 $$unsorted) (VT2 $$unsorted)) (or (not (vtcheck (vbind Vx VT1 skv_3) Ve2 VT2)) (not (= (varrow VT1 VT2) skv_13)) (not (= (vabs Vx VT1 Ve2) skv_12))) ) 0.70/0.94 ( skv_7, skv_8, skv_9, skv_10 ) 0.70/0.94 ( skv_7, (vabs skv_28 skv_30 skv_29), skv_9, (varrow skv_30 skv_31) ) 0.70/0.94 ( skv_7, skv_32, skv_9, (varrow skv_34 skv_10) ) 0.70/0.94 ( skv_7, skv_33, skv_9, skv_34 ) 0.70/0.94 ( skv_7, (vapp skv_32 skv_33), skv_9, skv_10 ) 0.70/0.94 ( skv_7, skv_219, skv_9, (varrow skv_221 skv_34) ) 0.70/0.94 ( skv_7, skv_220, skv_9, skv_221 ) 0.70/0.94 ( skv_7, skv_227, skv_9, (varrow skv_229 (varrow skv_34 skv_10)) ) 0.70/0.94 ( skv_7, skv_228, skv_9, skv_229 ) 0.70/0.94 ( skv_36, skv_203, skv_38, (varrow skv_205 skv_39) ) 0.70/0.94 ( skv_36, skv_204, skv_38, skv_205 ) 0.70/0.94 ( skv_44, skv_45, skv_46, skv_47 ) 0.70/0.94 ( skv_44, skv_195, skv_46, (varrow skv_197 skv_47) ) 0.70/0.94 ( skv_44, skv_196, skv_46, skv_197 ) 0.70/0.94 ( skv_231, skv_232, skv_233, skv_234 ) 0.70/0.94 ( skv_239, skv_240, skv_241, skv_242 ) 0.70/0.94 ( skv_247, skv_248, skv_249, skv_250 ) 0.70/0.94 ( skv_255, skv_256, skv_257, skv_258 ) 0.70/0.94 ( skv_263, skv_264, skv_265, skv_266 ) 0.70/0.94 ) 0.70/0.94 (instantiation (forall ((Vx $$unsorted)) (or (not (= (vvar Vx) skv_8)) (not (= (vlookup Vx (vbind skv_7 skv_9 skv_3)) (vsomeType skv_10)))) ) 0.70/0.94 ( skv_7 ) 0.70/0.94 ( skv_14 ) 0.70/0.94 ( skv_21 ) 0.70/0.94 ( skv_35 ) 0.70/0.94 ( skv_43 ) 0.70/0.94 ( skv_51 ) 0.70/0.94 ( skv_113 ) 0.70/0.94 ( skv_133 ) 0.70/0.94 ( skv_150 ) 0.70/0.94 ( skv_180 ) 0.70/0.94 ( skv_198 ) 0.70/0.94 ( skv_206 ) 0.70/0.94 ( skv_214 ) 0.70/0.94 ( skv_222 ) 0.70/0.94 ( skv_230 ) 0.70/0.94 ( skv_238 ) 0.70/0.94 ( skv_246 ) 0.70/0.94 ( skv_254 ) 0.70/0.94 ( skv_262 ) 0.70/0.94 ( skv_270 ) 0.70/0.94 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))) ) 0.70/0.94 ( skv_281 ) 0.70/0.94 ) 0.70/0.94 (instantiation (forall ((Vx $$unsorted) (Ve2 $$unsorted) (VT1 $$unsorted) (VT2 $$unsorted)) (or (not (vtcheck (vbind Vx VT1 (vbind skv_7 skv_9 skv_3)) Ve2 VT2)) (not (= (varrow VT1 VT2) skv_10)) (not (= (vabs Vx VT1 Ve2) skv_8))) ) 0.70/0.94 ( skv_28, skv_211, skv_30, (varrow skv_213 skv_31) ) 0.70/0.94 ( skv_28, skv_212, skv_30, skv_213 ) 0.70/0.94 ( skv_215, skv_216, skv_217, skv_218 ) 0.70/0.94 ( skv_223, skv_224, skv_225, skv_226 ) 0.70/0.94 ) 0.70/0.94 (instantiation (forall ((Vx $$unsorted)) (not (= (vvar Vx) (vabs skv_4 skv_5 veabs))) ) 0.70/0.94 ( skv_14 ) 0.70/0.94 ( skv_21 ) 0.70/0.94 ( skv_35 ) 0.70/0.94 ( skv_43 ) 0.70/0.94 ( skv_51 ) 0.70/0.94 ( skv_113 ) 0.70/0.94 ( skv_133 ) 0.70/0.94 ( skv_150 ) 0.70/0.94 ( skv_180 ) 0.70/0.94 ( skv_198 ) 0.70/0.94 ( skv_206 ) 0.70/0.94 ( skv_214 ) 0.70/0.94 ( skv_222 ) 0.70/0.94 ( skv_230 ) 0.70/0.94 ( skv_238 ) 0.70/0.94 ( skv_246 ) 0.70/0.94 ( skv_254 ) 0.70/0.94 ( skv_262 ) 0.70/0.94 ( skv_270 ) 0.70/0.94 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))) ) 0.70/0.94 ( skv_281 ) 0.70/0.94 ) 0.70/0.94 (instantiation (let ((_let_0 (vabs Vx VS Ve1))) (let ((_let_1 (vreduce Ve2))) (let ((_let_2 (vabs skv_4 skv_5 veabs))) (forall ((Ve2 $$unsorted) (Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted)) (or (not (= (vapp _let_0 Ve2) _let_2)) (not (visSomeExp _let_1)) (not (= (vsomeExp (vapp _let_0 (vgetSomeExp _let_1))) (vreduce _let_2)))) )))) 0.70/0.94 ( skv_12, skv_15, skv_16, skv_17 ) 0.70/0.94 ( skv_12, skv_44, skv_46, skv_45 ) 0.70/0.94 ( skv_12, skv_91, skv_92, skv_93 ) 0.70/0.94 ( skv_19, skv_85, skv_86, skv_87 ) 0.70/0.94 ( skv_19, skv_88, skv_89, skv_90 ) 0.70/0.94 ( skv_22, skv_21, skv_20, skv_23 ) 0.70/0.94 ( skv_22, skv_82, skv_83, skv_84 ) 0.70/0.94 ( skv_22, skv_91, skv_92, skv_93 ) 0.70/0.94 ( skv_24, skv_25, skv_26, skv_27 ) 0.70/0.94 ( skv_24, skv_79, skv_80, skv_81 ) 0.70/0.94 ( skv_24, skv_91, skv_92, skv_93 ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_24)), skv_25, skv_26, skv_27 ) 0.70/0.94 ( skv_33, skv_76, skv_77, skv_78 ) 0.70/0.94 ( skv_41, skv_73, skv_74, skv_75 ) 0.70/0.94 ( skv_49, skv_70, skv_71, skv_72 ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_12)), skv_44, skv_46, skv_45 ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_22)), skv_21, skv_20, skv_23 ) 0.70/0.94 ( skv_97, skv_98, skv_99, skv_100 ) 0.70/0.94 ( skv_107, skv_106, skv_105, skv_108 ) 0.70/0.94 ( skv_109, skv_110, skv_111, skv_112 ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_109)), skv_110, skv_111, skv_112 ) 0.70/0.94 ( skv_117, skv_118, skv_119, skv_120 ) 0.70/0.94 ( skv_127, skv_126, skv_125, skv_128 ) 0.70/0.94 ( skv_129, skv_130, skv_131, skv_132 ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_129)), skv_130, skv_131, skv_132 ) 0.70/0.94 ( skv_134, skv_135, skv_136, skv_137 ) 0.70/0.94 ( skv_144, skv_143, skv_142, skv_145 ) 0.70/0.94 ( skv_146, skv_147, skv_148, skv_149 ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_146)), skv_147, skv_148, skv_149 ) 0.70/0.94 ( skv_155, skv_154, skv_153, skv_156 ) 0.70/0.94 ( skv_157, skv_158, skv_159, skv_160 ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_157)), skv_158, skv_159, skv_160 ) 0.70/0.94 ( skv_164, skv_165, skv_166, skv_167 ) 0.70/0.94 ( skv_174, skv_173, skv_172, skv_175 ) 0.70/0.94 ( skv_176, skv_177, skv_178, skv_179 ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_176)), skv_177, skv_178, skv_179 ) 0.70/0.94 ( skv_185, skv_184, skv_183, skv_186 ) 0.70/0.94 ( skv_187, skv_188, skv_189, skv_190 ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_187)), skv_188, skv_189, skv_190 ) 0.70/0.94 ( skv_278, skv_114, skv_115, skv_116 ) 0.70/0.94 ( skv_322, skv_323, skv_324, skv_325 ) 0.70/0.94 ) 0.70/0.94 (instantiation (let ((_let_0 (vabs skv_4 skv_5 veabs))) (forall ((VS $$unsorted) (Vx $$unsorted) (Ve2 $$unsorted) (Ve1 $$unsorted)) (or (visSomeExp (vreduce Ve2)) (not (visValue Ve2)) (not (= (vsomeExp (vsubst Vx Ve2 Ve1)) (vreduce _let_0))) (not (= (vapp (vabs Vx VS Ve1) Ve2) _let_0))) )) 0.70/0.94 ( skv_16, skv_15, skv_12, skv_17 ) 0.70/0.94 ( skv_20, skv_21, skv_22, skv_23 ) 0.70/0.94 ( skv_20, skv_21, (vgetSomeExp (vreduce skv_22)), skv_23 ) 0.70/0.94 ( skv_26, skv_25, skv_24, skv_27 ) 0.70/0.94 ( skv_26, skv_25, (vgetSomeExp (vreduce skv_24)), skv_27 ) 0.70/0.94 ( skv_46, skv_44, skv_12, skv_45 ) 0.70/0.94 ( skv_46, skv_44, (vgetSomeExp (vreduce skv_12)), skv_45 ) 0.70/0.94 ( skv_71, skv_70, skv_49, skv_72 ) 0.70/0.94 ( skv_74, skv_73, skv_41, skv_75 ) 0.70/0.94 ( skv_77, skv_76, skv_33, skv_78 ) 0.70/0.94 ( skv_86, skv_85, skv_19, skv_87 ) 0.70/0.94 ( skv_89, skv_88, skv_19, skv_90 ) 0.70/0.94 ( skv_92, skv_91, skv_12, skv_93 ) 0.70/0.94 ( skv_92, skv_91, skv_22, skv_93 ) 0.70/0.94 ( skv_92, skv_91, skv_24, skv_93 ) 0.70/0.94 ( skv_99, skv_98, skv_97, skv_100 ) 0.70/0.94 ( skv_105, skv_106, skv_107, skv_108 ) 0.70/0.94 ( skv_111, skv_110, skv_109, skv_112 ) 0.70/0.94 ( skv_111, skv_110, (vgetSomeExp (vreduce skv_109)), skv_112 ) 0.70/0.94 ( skv_115, skv_114, skv_278, skv_116 ) 0.70/0.94 ( skv_119, skv_118, skv_117, skv_120 ) 0.70/0.94 ( skv_125, skv_126, skv_127, skv_128 ) 0.70/0.94 ( skv_131, skv_130, skv_129, skv_132 ) 0.70/0.94 ( skv_131, skv_130, (vgetSomeExp (vreduce skv_129)), skv_132 ) 0.70/0.94 ( skv_136, skv_135, skv_134, skv_137 ) 0.70/0.94 ( skv_142, skv_143, skv_144, skv_145 ) 0.70/0.94 ( skv_148, skv_147, skv_146, skv_149 ) 0.70/0.94 ( skv_148, skv_147, (vgetSomeExp (vreduce skv_146)), skv_149 ) 0.70/0.94 ( skv_153, skv_154, skv_155, skv_156 ) 0.70/0.94 ( skv_159, skv_158, skv_157, skv_160 ) 0.70/0.94 ( skv_159, skv_158, (vgetSomeExp (vreduce skv_157)), skv_160 ) 0.70/0.94 ( skv_166, skv_165, skv_164, skv_167 ) 0.70/0.94 ( skv_172, skv_173, skv_174, skv_175 ) 0.70/0.94 ( skv_178, skv_177, skv_176, skv_179 ) 0.70/0.94 ( skv_178, skv_177, (vgetSomeExp (vreduce skv_176)), skv_179 ) 0.70/0.94 ( skv_183, skv_184, skv_185, skv_186 ) 0.70/0.94 ( skv_189, skv_188, skv_187, skv_190 ) 0.70/0.94 ( skv_189, skv_188, (vgetSomeExp (vreduce skv_187)), skv_190 ) 0.70/0.94 ( skv_324, skv_323, skv_322, skv_325 ) 0.70/0.94 ) 0.70/0.94 (instantiation (forall ((Ve2 $$unsorted) (Ve1 $$unsorted)) (or (not (= (vapp Ve1 Ve2) (vabs skv_4 skv_5 veabs))) (not (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= Ve1 (vabs VVx0 VVS0 VVe10))) )) (visSomeExp (vreduce Ve1))) ) 0.70/0.94 ( skv_12, skv_11 ) 0.70/0.94 ( skv_12, (vgetSomeExp (vreduce skv_11)) ) 0.70/0.94 ( skv_12, (vabs skv_44 skv_46 skv_45) ) 0.70/0.94 ( skv_19, skv_18 ) 0.70/0.94 ( skv_19, (vgetSomeExp (vreduce skv_18)) ) 0.70/0.94 ( skv_22, (vabs skv_21 skv_20 skv_23) ) 0.70/0.94 ( skv_22, (vgetSomeExp (vreduce (vabs skv_21 skv_20 skv_23))) ) 0.70/0.94 ( skv_24, (vabs skv_25 skv_26 skv_27) ) 0.70/0.94 ( skv_24, (vgetSomeExp (vreduce (vabs skv_25 skv_26 skv_27))) ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_24)), (vabs skv_25 skv_26 skv_27) ) 0.70/0.94 ( skv_33, skv_32 ) 0.70/0.94 ( skv_41, skv_40 ) 0.70/0.94 ( skv_49, skv_48 ) 0.70/0.94 ( skv_49, (vgetSomeExp (vreduce skv_48)) ) 0.70/0.94 ( (vvar skv_21), (vapp skv_22 skv_278) ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_12)), (vabs skv_44 skv_46 skv_45) ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_22)), (vabs skv_21 skv_20 skv_23) ) 0.70/0.94 ( skv_97, (vabs skv_98 skv_99 skv_100) ) 0.70/0.94 ( skv_102, skv_101 ) 0.70/0.94 ( skv_102, (vgetSomeExp (vreduce skv_101)) ) 0.70/0.94 ( skv_103, skv_104 ) 0.70/0.94 ( skv_107, (vabs skv_106 skv_105 skv_108) ) 0.70/0.94 ( skv_109, (vabs skv_110 skv_111 skv_112) ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_109)), (vabs skv_110 skv_111 skv_112) ) 0.70/0.94 ( skv_117, (vabs skv_118 skv_119 skv_120) ) 0.70/0.94 ( skv_122, skv_121 ) 0.70/0.94 ( skv_122, (vgetSomeExp (vreduce skv_121)) ) 0.70/0.94 ( skv_123, skv_124 ) 0.70/0.94 ( skv_127, (vabs skv_126 skv_125 skv_128) ) 0.70/0.94 ( skv_129, (vabs skv_130 skv_131 skv_132) ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_129)), (vabs skv_130 skv_131 skv_132) ) 0.70/0.94 ( skv_134, (vabs skv_135 skv_136 skv_137) ) 0.70/0.94 ( skv_139, skv_138 ) 0.70/0.94 ( skv_139, (vgetSomeExp (vreduce skv_138)) ) 0.70/0.94 ( skv_140, skv_141 ) 0.70/0.94 ( skv_144, (vabs skv_143 skv_142 skv_145) ) 0.70/0.94 ( skv_146, (vabs skv_147 skv_148 skv_149) ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_146)), (vabs skv_147 skv_148 skv_149) ) 0.70/0.94 ( skv_152, skv_151 ) 0.70/0.94 ( skv_152, (vgetSomeExp (vreduce skv_151)) ) 0.70/0.94 ( skv_155, (vabs skv_154 skv_153 skv_156) ) 0.70/0.94 ( skv_157, (vabs skv_158 skv_159 skv_160) ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_157)), (vabs skv_158 skv_159 skv_160) ) 0.70/0.94 ( skv_164, (vabs skv_165 skv_166 skv_167) ) 0.70/0.94 ( skv_169, skv_168 ) 0.70/0.94 ( skv_169, (vgetSomeExp (vreduce skv_168)) ) 0.70/0.94 ( skv_170, skv_171 ) 0.70/0.94 ( skv_174, (vabs skv_173 skv_172 skv_175) ) 0.70/0.94 ( skv_176, (vabs skv_177 skv_178 skv_179) ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_176)), (vabs skv_177 skv_178 skv_179) ) 0.70/0.94 ( skv_182, skv_181 ) 0.70/0.94 ( skv_182, (vgetSomeExp (vreduce skv_181)) ) 0.70/0.94 ( skv_185, (vabs skv_184 skv_183 skv_186) ) 0.70/0.94 ( skv_187, (vabs skv_188 skv_189 skv_190) ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_187)), (vabs skv_188 skv_189 skv_190) ) 0.70/0.94 ( skv_196, skv_195 ) 0.70/0.94 ( skv_204, skv_203 ) 0.70/0.94 ( skv_212, skv_211 ) 0.70/0.94 ( skv_220, skv_219 ) 0.70/0.94 ( skv_228, skv_227 ) 0.70/0.94 ( skv_236, skv_235 ) 0.70/0.94 ( skv_244, skv_243 ) 0.70/0.94 ( skv_252, skv_251 ) 0.70/0.94 ( skv_260, skv_259 ) 0.70/0.94 ( skv_268, skv_267 ) 0.70/0.94 ( skv_278, skv_22 ) 0.70/0.94 ( skv_280, skv_279 ) 0.70/0.94 ( (vsubst skv_21 skv_22 skv_280), (vsubst skv_21 skv_22 skv_279) ) 0.70/0.94 ( skv_322, (vabs skv_323 skv_324 skv_325) ) 0.70/0.94 ) 0.70/0.94 (instantiation (let ((_let_0 (vreduce Ve1))) (let ((_let_1 (vabs skv_4 skv_5 veabs))) (forall ((Ve1 $$unsorted) (Ve2 $$unsorted)) (or (not (visSomeExp _let_0)) (not (= (vsomeExp (vapp (vgetSomeExp _let_0) Ve2)) (vreduce _let_1))) (not (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= Ve1 (vabs VVx0 VVS0 VVe10))) )) (not (= (vapp Ve1 Ve2) _let_1))) ))) 0.70/0.94 ( skv_11, skv_12 ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_11)), skv_12 ) 0.70/0.94 ( skv_18, skv_19 ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_18)), skv_19 ) 0.70/0.94 ( skv_22, skv_278 ) 0.70/0.94 ( (vabs skv_21 skv_20 skv_23), skv_22 ) 0.70/0.94 ( (vabs skv_21 skv_20 skv_23), (vgetSomeExp (vreduce skv_22)) ) 0.70/0.94 ( (vabs skv_25 skv_26 skv_27), skv_24 ) 0.70/0.94 ( (vabs skv_25 skv_26 skv_27), (vgetSomeExp (vreduce skv_24)) ) 0.70/0.94 ( skv_32, skv_33 ) 0.70/0.94 ( skv_40, skv_41 ) 0.70/0.94 ( (vabs skv_44 skv_46 skv_45), skv_12 ) 0.70/0.94 ( (vabs skv_44 skv_46 skv_45), (vgetSomeExp (vreduce skv_12)) ) 0.70/0.94 ( skv_48, skv_49 ) 0.70/0.94 ( (vgetSomeExp (vreduce (vabs skv_25 skv_26 skv_27))), skv_24 ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_48)), skv_49 ) 0.70/0.94 ( (vgetSomeExp (vreduce (vabs skv_21 skv_20 skv_23))), skv_22 ) 0.70/0.94 ( (vapp (vabs skv_44 skv_46 skv_45) skv_12), skv_12 ) 0.70/0.94 ( (vapp (vabs skv_44 skv_46 skv_45) skv_12), skv_22 ) 0.70/0.94 ( (vapp (vabs skv_44 skv_46 skv_45) skv_12), skv_24 ) 0.70/0.94 ( (vabs skv_98 skv_99 skv_100), skv_97 ) 0.70/0.94 ( skv_101, skv_102 ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_101)), skv_102 ) 0.70/0.94 ( skv_104, skv_103 ) 0.70/0.94 ( (vabs skv_106 skv_105 skv_108), skv_107 ) 0.70/0.94 ( (vabs skv_110 skv_111 skv_112), skv_109 ) 0.70/0.94 ( (vabs skv_110 skv_111 skv_112), (vgetSomeExp (vreduce skv_109)) ) 0.70/0.94 ( (vabs skv_118 skv_119 skv_120), skv_117 ) 0.70/0.94 ( skv_121, skv_122 ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_121)), skv_122 ) 0.70/0.94 ( skv_124, skv_123 ) 0.70/0.94 ( (vabs skv_126 skv_125 skv_128), skv_127 ) 0.70/0.94 ( (vabs skv_130 skv_131 skv_132), skv_129 ) 0.70/0.94 ( (vabs skv_130 skv_131 skv_132), (vgetSomeExp (vreduce skv_129)) ) 0.70/0.94 ( (vabs skv_135 skv_136 skv_137), skv_134 ) 0.70/0.94 ( skv_138, skv_139 ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_138)), skv_139 ) 0.70/0.94 ( skv_141, skv_140 ) 0.70/0.94 ( (vabs skv_143 skv_142 skv_145), skv_144 ) 0.70/0.94 ( (vabs skv_147 skv_148 skv_149), skv_146 ) 0.70/0.94 ( (vabs skv_147 skv_148 skv_149), (vgetSomeExp (vreduce skv_146)) ) 0.70/0.94 ( skv_151, skv_152 ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_151)), skv_152 ) 0.70/0.94 ( (vabs skv_154 skv_153 skv_156), skv_155 ) 0.70/0.94 ( (vabs skv_158 skv_159 skv_160), skv_157 ) 0.70/0.94 ( (vabs skv_158 skv_159 skv_160), (vgetSomeExp (vreduce skv_157)) ) 0.70/0.94 ( (vabs skv_165 skv_166 skv_167), skv_164 ) 0.70/0.94 ( skv_168, skv_169 ) 0.70/0.94 ( (vgetSomeExp (vreduce skv_168)), skv_169 ) 0.70/0.95 ( skv_171, skv_170 ) 0.70/0.95 ( (vabs skv_173 skv_172 skv_175), skv_174 ) 0.70/0.95 ( (vabs skv_177 skv_178 skv_179), skv_176 ) 0.70/0.95 ( (vabs skv_177 skv_178 skv_179), (vgetSomeExp (vreduce skv_176)) ) 0.70/0.95 ( skv_181, skv_182 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_181)), skv_182 ) 0.70/0.95 ( (vabs skv_184 skv_183 skv_186), skv_185 ) 0.70/0.95 ( (vabs skv_188 skv_189 skv_190), skv_187 ) 0.70/0.95 ( (vabs skv_188 skv_189 skv_190), (vgetSomeExp (vreduce skv_187)) ) 0.70/0.95 ( skv_195, skv_196 ) 0.70/0.95 ( skv_203, skv_204 ) 0.70/0.95 ( skv_211, skv_212 ) 0.70/0.95 ( skv_219, skv_220 ) 0.70/0.95 ( skv_227, skv_228 ) 0.70/0.95 ( skv_235, skv_236 ) 0.70/0.95 ( skv_243, skv_244 ) 0.70/0.95 ( skv_251, skv_252 ) 0.70/0.95 ( skv_259, skv_260 ) 0.70/0.95 ( skv_267, skv_268 ) 0.70/0.95 ( (vapp skv_22 skv_278), (vvar skv_21) ) 0.70/0.95 ( skv_279, skv_280 ) 0.70/0.95 ( (vsubst skv_21 skv_22 skv_279), (vsubst skv_21 skv_22 skv_280) ) 0.70/0.95 ( (vabs skv_323 skv_324 skv_325), skv_322 ) 0.70/0.95 ) 0.70/0.95 (instantiation (forall ((Ve2 $$unsorted) (Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted)) (or (visSomeExp (vreduce Ve2)) (visValue Ve2) (not (= (vapp (vabs Vx VS Ve1) Ve2) (vabs skv_4 skv_5 veabs)))) ) 0.70/0.95 ( skv_12, skv_44, skv_46, skv_45 ) 0.70/0.95 ( skv_22, skv_21, skv_20, skv_23 ) 0.70/0.95 ( skv_24, skv_25, skv_26, skv_27 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_24)), skv_25, skv_26, skv_27 ) 0.70/0.95 ) 0.70/0.95 (instantiation (forall ((Vx $$unsorted) (VS $$unsorted) (Ve $$unsorted)) (not (= (vabs Vx VS Ve) (vabs skv_4 skv_5 veabs))) ) 0.70/0.95 ( skv_4, skv_5, veabs ) 0.70/0.95 ( skv_15, skv_16, skv_17 ) 0.70/0.95 ( skv_21, skv_20, skv_23 ) 0.70/0.95 ( skv_25, skv_26, skv_27 ) 0.70/0.95 ( skv_28, skv_30, skv_29 ) 0.70/0.95 ( skv_36, skv_38, skv_37 ) 0.70/0.95 ( skv_44, skv_46, skv_45 ) 0.70/0.95 ) 0.70/0.95 (instantiation (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) skv_18)) ) 0.70/0.95 ( skv_4, skv_5, veabs ) 0.70/0.95 ( skv_15, skv_16, skv_17 ) 0.70/0.95 ( skv_21, skv_20, skv_23 ) 0.70/0.95 ( skv_25, skv_26, skv_27 ) 0.70/0.95 ( skv_28, skv_30, skv_29 ) 0.70/0.95 ( skv_36, skv_38, skv_37 ) 0.70/0.95 ( skv_44, skv_46, skv_45 ) 0.70/0.95 ) 0.70/0.95 (instantiation (forall ((Vx $$unsorted)) (not (= (vvar Vx) skv_11)) ) 0.70/0.95 ( skv_14 ) 0.70/0.95 ( skv_21 ) 0.70/0.95 ( skv_35 ) 0.70/0.95 ( skv_43 ) 0.70/0.95 ( skv_51 ) 0.70/0.95 ( skv_113 ) 0.70/0.95 ( skv_133 ) 0.70/0.95 ( skv_150 ) 0.70/0.95 ( skv_180 ) 0.70/0.95 ( skv_198 ) 0.70/0.95 ( skv_206 ) 0.70/0.95 ( skv_214 ) 0.70/0.95 ( skv_222 ) 0.70/0.95 ( skv_230 ) 0.70/0.95 ( skv_238 ) 0.70/0.95 ( skv_246 ) 0.70/0.95 ( skv_254 ) 0.70/0.95 ( skv_262 ) 0.70/0.95 ( skv_270 ) 0.70/0.95 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))) ) 0.70/0.95 ( skv_281 ) 0.70/0.95 ) 0.70/0.95 (instantiation (forall ((Ve2 $$unsorted) (Ve1 $$unsorted)) (or (not (= (vapp Ve1 Ve2) skv_11)) (not (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= Ve1 (vabs VVx0 VVS0 VVe10))) )) (visSomeExp (vreduce Ve1))) ) 0.70/0.95 ( skv_12, skv_11 ) 0.70/0.95 ( skv_12, (vgetSomeExp (vreduce skv_11)) ) 0.70/0.95 ( skv_12, (vabs skv_44 skv_46 skv_45) ) 0.70/0.95 ( skv_19, skv_18 ) 0.70/0.95 ( skv_19, (vgetSomeExp (vreduce skv_18)) ) 0.70/0.95 ( skv_22, (vabs skv_21 skv_20 skv_23) ) 0.70/0.95 ( skv_22, (vgetSomeExp (vreduce (vabs skv_21 skv_20 skv_23))) ) 0.70/0.95 ( skv_24, (vabs skv_25 skv_26 skv_27) ) 0.70/0.95 ( skv_24, (vgetSomeExp (vreduce (vabs skv_25 skv_26 skv_27))) ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_24)), (vabs skv_25 skv_26 skv_27) ) 0.70/0.95 ( skv_33, skv_32 ) 0.70/0.95 ( skv_41, skv_40 ) 0.70/0.95 ( skv_49, skv_48 ) 0.70/0.95 ( skv_49, (vgetSomeExp (vreduce skv_48)) ) 0.70/0.95 ( (vvar skv_21), (vapp skv_22 skv_278) ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_12)), (vabs skv_44 skv_46 skv_45) ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_22)), (vabs skv_21 skv_20 skv_23) ) 0.70/0.95 ( skv_97, (vabs skv_98 skv_99 skv_100) ) 0.70/0.95 ( skv_102, skv_101 ) 0.70/0.95 ( skv_102, (vgetSomeExp (vreduce skv_101)) ) 0.70/0.95 ( skv_103, skv_104 ) 0.70/0.95 ( skv_107, (vabs skv_106 skv_105 skv_108) ) 0.70/0.95 ( skv_109, (vabs skv_110 skv_111 skv_112) ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_109)), (vabs skv_110 skv_111 skv_112) ) 0.70/0.95 ( skv_117, (vabs skv_118 skv_119 skv_120) ) 0.70/0.95 ( skv_122, skv_121 ) 0.70/0.95 ( skv_122, (vgetSomeExp (vreduce skv_121)) ) 0.70/0.95 ( skv_123, skv_124 ) 0.70/0.95 ( skv_127, (vabs skv_126 skv_125 skv_128) ) 0.70/0.95 ( skv_129, (vabs skv_130 skv_131 skv_132) ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_129)), (vabs skv_130 skv_131 skv_132) ) 0.70/0.95 ( skv_134, (vabs skv_135 skv_136 skv_137) ) 0.70/0.95 ( skv_139, skv_138 ) 0.70/0.95 ( skv_139, (vgetSomeExp (vreduce skv_138)) ) 0.70/0.95 ( skv_140, skv_141 ) 0.70/0.95 ( skv_144, (vabs skv_143 skv_142 skv_145) ) 0.70/0.95 ( skv_146, (vabs skv_147 skv_148 skv_149) ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_146)), (vabs skv_147 skv_148 skv_149) ) 0.70/0.95 ( skv_152, skv_151 ) 0.70/0.95 ( skv_152, (vgetSomeExp (vreduce skv_151)) ) 0.70/0.95 ( skv_155, (vabs skv_154 skv_153 skv_156) ) 0.70/0.95 ( skv_157, (vabs skv_158 skv_159 skv_160) ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_157)), (vabs skv_158 skv_159 skv_160) ) 0.70/0.95 ( skv_164, (vabs skv_165 skv_166 skv_167) ) 0.70/0.95 ( skv_169, skv_168 ) 0.70/0.95 ( skv_169, (vgetSomeExp (vreduce skv_168)) ) 0.70/0.95 ( skv_170, skv_171 ) 0.70/0.95 ( skv_174, (vabs skv_173 skv_172 skv_175) ) 0.70/0.95 ( skv_176, (vabs skv_177 skv_178 skv_179) ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_176)), (vabs skv_177 skv_178 skv_179) ) 0.70/0.95 ( skv_182, skv_181 ) 0.70/0.95 ( skv_182, (vgetSomeExp (vreduce skv_181)) ) 0.70/0.95 ( skv_185, (vabs skv_184 skv_183 skv_186) ) 0.70/0.95 ( skv_187, (vabs skv_188 skv_189 skv_190) ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_187)), (vabs skv_188 skv_189 skv_190) ) 0.70/0.95 ( skv_196, skv_195 ) 0.70/0.95 ( skv_204, skv_203 ) 0.70/0.95 ( skv_212, skv_211 ) 0.70/0.95 ( skv_220, skv_219 ) 0.70/0.95 ( skv_228, skv_227 ) 0.70/0.95 ( skv_236, skv_235 ) 0.70/0.95 ( skv_244, skv_243 ) 0.70/0.95 ( skv_252, skv_251 ) 0.70/0.95 ( skv_260, skv_259 ) 0.70/0.95 ( skv_268, skv_267 ) 0.70/0.95 ( skv_278, skv_22 ) 0.70/0.95 ( skv_280, skv_279 ) 0.70/0.95 ( (vsubst skv_21 skv_22 skv_280), (vsubst skv_21 skv_22 skv_279) ) 0.70/0.95 ( skv_322, (vabs skv_323 skv_324 skv_325) ) 0.70/0.95 ) 0.70/0.95 (instantiation (forall ((Ve2 $$unsorted) (Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted)) (or (visSomeExp (vreduce Ve2)) (visValue Ve2) (not (= (vapp (vabs Vx VS Ve1) Ve2) skv_11))) ) 0.70/0.95 ( skv_12, skv_15, skv_16, skv_17 ) 0.70/0.95 ( skv_12, skv_44, skv_46, skv_45 ) 0.70/0.95 ( skv_12, skv_91, skv_92, skv_93 ) 0.70/0.95 ( skv_19, skv_85, skv_86, skv_87 ) 0.70/0.95 ( skv_19, skv_88, skv_89, skv_90 ) 0.70/0.95 ( skv_22, skv_21, skv_20, skv_23 ) 0.70/0.95 ( skv_22, skv_91, skv_92, skv_93 ) 0.70/0.95 ( skv_24, skv_25, skv_26, skv_27 ) 0.70/0.95 ( skv_24, skv_91, skv_92, skv_93 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_24)), skv_25, skv_26, skv_27 ) 0.70/0.95 ( skv_33, skv_76, skv_77, skv_78 ) 0.70/0.95 ( skv_41, skv_73, skv_74, skv_75 ) 0.70/0.95 ( skv_49, skv_70, skv_71, skv_72 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_12)), skv_44, skv_46, skv_45 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_22)), skv_21, skv_20, skv_23 ) 0.70/0.95 ( skv_97, skv_98, skv_99, skv_100 ) 0.70/0.95 ( skv_107, skv_106, skv_105, skv_108 ) 0.70/0.95 ( skv_109, skv_110, skv_111, skv_112 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_109)), skv_110, skv_111, skv_112 ) 0.70/0.95 ( skv_117, skv_118, skv_119, skv_120 ) 0.70/0.95 ( skv_127, skv_126, skv_125, skv_128 ) 0.70/0.95 ( skv_129, skv_130, skv_131, skv_132 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_129)), skv_130, skv_131, skv_132 ) 0.70/0.95 ( skv_134, skv_135, skv_136, skv_137 ) 0.70/0.95 ( skv_144, skv_143, skv_142, skv_145 ) 0.70/0.95 ( skv_146, skv_147, skv_148, skv_149 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_146)), skv_147, skv_148, skv_149 ) 0.70/0.95 ( skv_155, skv_154, skv_153, skv_156 ) 0.70/0.95 ( skv_157, skv_158, skv_159, skv_160 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_157)), skv_158, skv_159, skv_160 ) 0.70/0.95 ( skv_164, skv_165, skv_166, skv_167 ) 0.70/0.95 ( skv_174, skv_173, skv_172, skv_175 ) 0.70/0.95 ( skv_176, skv_177, skv_178, skv_179 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_176)), skv_177, skv_178, skv_179 ) 0.70/0.95 ( skv_185, skv_184, skv_183, skv_186 ) 0.70/0.95 ( skv_187, skv_188, skv_189, skv_190 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_187)), skv_188, skv_189, skv_190 ) 0.70/0.95 ( skv_278, skv_114, skv_115, skv_116 ) 0.70/0.95 ( skv_322, skv_323, skv_324, skv_325 ) 0.70/0.95 ) 0.70/0.95 (instantiation (forall ((Vx $$unsorted)) (not (= (vvar Vx) (vvar skv_14))) ) 0.70/0.95 ( skv_14 ) 0.70/0.95 ( skv_21 ) 0.70/0.95 ( skv_35 ) 0.70/0.95 ( skv_43 ) 0.70/0.95 ( skv_51 ) 0.70/0.95 ( skv_113 ) 0.70/0.95 ( skv_133 ) 0.70/0.95 ( skv_150 ) 0.70/0.95 ( skv_180 ) 0.70/0.95 ( skv_198 ) 0.70/0.95 ( skv_206 ) 0.70/0.95 ( skv_214 ) 0.70/0.95 ( skv_222 ) 0.70/0.95 ( skv_230 ) 0.70/0.95 ( skv_238 ) 0.70/0.95 ( skv_246 ) 0.70/0.95 ( skv_254 ) 0.70/0.95 ( skv_262 ) 0.70/0.95 ( skv_270 ) 0.70/0.95 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))) ) 0.70/0.95 ( skv_281 ) 0.70/0.95 ) 0.70/0.95 (instantiation (forall ((Ve2 $$unsorted) (Ve1 $$unsorted)) (or (not (= (vapp Ve1 Ve2) (vvar skv_14))) (not (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= Ve1 (vabs VVx0 VVS0 VVe10))) )) (visSomeExp (vreduce Ve1))) ) 0.70/0.95 ( skv_12, skv_11 ) 0.70/0.95 ( skv_12, (vgetSomeExp (vreduce skv_11)) ) 0.70/0.95 ( skv_12, (vabs skv_44 skv_46 skv_45) ) 0.70/0.95 ( skv_19, skv_18 ) 0.70/0.95 ( skv_19, (vgetSomeExp (vreduce skv_18)) ) 0.70/0.95 ( skv_22, (vabs skv_21 skv_20 skv_23) ) 0.70/0.95 ( skv_22, (vgetSomeExp (vreduce (vabs skv_21 skv_20 skv_23))) ) 0.70/0.95 ( skv_24, (vabs skv_25 skv_26 skv_27) ) 0.70/0.95 ( skv_24, (vgetSomeExp (vreduce (vabs skv_25 skv_26 skv_27))) ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_24)), (vabs skv_25 skv_26 skv_27) ) 0.70/0.95 ( skv_33, skv_32 ) 0.70/0.95 ( skv_41, skv_40 ) 0.70/0.95 ( skv_49, skv_48 ) 0.70/0.95 ( skv_49, (vgetSomeExp (vreduce skv_48)) ) 0.70/0.95 ( (vvar skv_21), (vapp skv_22 skv_278) ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_12)), (vabs skv_44 skv_46 skv_45) ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_22)), (vabs skv_21 skv_20 skv_23) ) 0.70/0.95 ( skv_97, (vabs skv_98 skv_99 skv_100) ) 0.70/0.95 ( skv_102, skv_101 ) 0.70/0.95 ( skv_102, (vgetSomeExp (vreduce skv_101)) ) 0.70/0.95 ( skv_103, skv_104 ) 0.70/0.95 ( skv_107, (vabs skv_106 skv_105 skv_108) ) 0.70/0.95 ( skv_109, (vabs skv_110 skv_111 skv_112) ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_109)), (vabs skv_110 skv_111 skv_112) ) 0.70/0.95 ( skv_117, (vabs skv_118 skv_119 skv_120) ) 0.70/0.95 ( skv_122, skv_121 ) 0.70/0.95 ( skv_122, (vgetSomeExp (vreduce skv_121)) ) 0.70/0.95 ( skv_123, skv_124 ) 0.70/0.95 ( skv_127, (vabs skv_126 skv_125 skv_128) ) 0.70/0.95 ( skv_129, (vabs skv_130 skv_131 skv_132) ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_129)), (vabs skv_130 skv_131 skv_132) ) 0.70/0.95 ( skv_134, (vabs skv_135 skv_136 skv_137) ) 0.70/0.95 ( skv_139, skv_138 ) 0.70/0.95 ( skv_139, (vgetSomeExp (vreduce skv_138)) ) 0.70/0.95 ( skv_140, skv_141 ) 0.70/0.95 ( skv_144, (vabs skv_143 skv_142 skv_145) ) 0.70/0.95 ( skv_146, (vabs skv_147 skv_148 skv_149) ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_146)), (vabs skv_147 skv_148 skv_149) ) 0.70/0.95 ( skv_152, skv_151 ) 0.70/0.95 ( skv_152, (vgetSomeExp (vreduce skv_151)) ) 0.70/0.95 ( skv_155, (vabs skv_154 skv_153 skv_156) ) 0.70/0.95 ( skv_157, (vabs skv_158 skv_159 skv_160) ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_157)), (vabs skv_158 skv_159 skv_160) ) 0.70/0.95 ( skv_164, (vabs skv_165 skv_166 skv_167) ) 0.70/0.95 ( skv_169, skv_168 ) 0.70/0.95 ( skv_169, (vgetSomeExp (vreduce skv_168)) ) 0.70/0.95 ( skv_170, skv_171 ) 0.70/0.95 ( skv_174, (vabs skv_173 skv_172 skv_175) ) 0.70/0.95 ( skv_176, (vabs skv_177 skv_178 skv_179) ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_176)), (vabs skv_177 skv_178 skv_179) ) 0.70/0.95 ( skv_182, skv_181 ) 0.70/0.95 ( skv_182, (vgetSomeExp (vreduce skv_181)) ) 0.70/0.95 ( skv_185, (vabs skv_184 skv_183 skv_186) ) 0.70/0.95 ( skv_187, (vabs skv_188 skv_189 skv_190) ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_187)), (vabs skv_188 skv_189 skv_190) ) 0.70/0.95 ( skv_196, skv_195 ) 0.70/0.95 ( skv_204, skv_203 ) 0.70/0.95 ( skv_212, skv_211 ) 0.70/0.95 ( skv_220, skv_219 ) 0.70/0.95 ( skv_228, skv_227 ) 0.70/0.95 ( skv_236, skv_235 ) 0.70/0.95 ( skv_244, skv_243 ) 0.70/0.95 ( skv_252, skv_251 ) 0.70/0.95 ( skv_260, skv_259 ) 0.70/0.95 ( skv_268, skv_267 ) 0.70/0.95 ( skv_278, skv_22 ) 0.70/0.95 ( skv_280, skv_279 ) 0.70/0.95 ( (vsubst skv_21 skv_22 skv_280), (vsubst skv_21 skv_22 skv_279) ) 0.70/0.95 ( skv_322, (vabs skv_323 skv_324 skv_325) ) 0.70/0.95 ) 0.70/0.95 (instantiation (forall ((Ve2 $$unsorted) (Vx $$unsorted) (VS $$unsorted) (Ve1 $$unsorted)) (or (visSomeExp (vreduce Ve2)) (visValue Ve2) (not (= (vapp (vabs Vx VS Ve1) Ve2) (vvar skv_14)))) ) 0.70/0.95 ( skv_12, skv_15, skv_16, skv_17 ) 0.70/0.95 ( skv_12, skv_44, skv_46, skv_45 ) 0.70/0.95 ( skv_12, skv_91, skv_92, skv_93 ) 0.70/0.95 ( skv_19, skv_85, skv_86, skv_87 ) 0.70/0.95 ( skv_19, skv_88, skv_89, skv_90 ) 0.70/0.95 ( skv_22, skv_21, skv_20, skv_23 ) 0.70/0.95 ( skv_22, skv_91, skv_92, skv_93 ) 0.70/0.95 ( skv_24, skv_25, skv_26, skv_27 ) 0.70/0.95 ( skv_24, skv_91, skv_92, skv_93 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_24)), skv_25, skv_26, skv_27 ) 0.70/0.95 ( skv_33, skv_76, skv_77, skv_78 ) 0.70/0.95 ( skv_41, skv_73, skv_74, skv_75 ) 0.70/0.95 ( skv_49, skv_70, skv_71, skv_72 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_12)), skv_44, skv_46, skv_45 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_22)), skv_21, skv_20, skv_23 ) 0.70/0.95 ( skv_97, skv_98, skv_99, skv_100 ) 0.70/0.95 ( skv_107, skv_106, skv_105, skv_108 ) 0.70/0.95 ( skv_109, skv_110, skv_111, skv_112 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_109)), skv_110, skv_111, skv_112 ) 0.70/0.95 ( skv_117, skv_118, skv_119, skv_120 ) 0.70/0.95 ( skv_127, skv_126, skv_125, skv_128 ) 0.70/0.95 ( skv_129, skv_130, skv_131, skv_132 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_129)), skv_130, skv_131, skv_132 ) 0.70/0.95 ( skv_134, skv_135, skv_136, skv_137 ) 0.70/0.95 ( skv_144, skv_143, skv_142, skv_145 ) 0.70/0.95 ( skv_146, skv_147, skv_148, skv_149 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_146)), skv_147, skv_148, skv_149 ) 0.70/0.95 ( skv_155, skv_154, skv_153, skv_156 ) 0.70/0.95 ( skv_157, skv_158, skv_159, skv_160 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_157)), skv_158, skv_159, skv_160 ) 0.70/0.95 ( skv_164, skv_165, skv_166, skv_167 ) 0.70/0.95 ( skv_174, skv_173, skv_172, skv_175 ) 0.70/0.95 ( skv_176, skv_177, skv_178, skv_179 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_176)), skv_177, skv_178, skv_179 ) 0.70/0.95 ( skv_185, skv_184, skv_183, skv_186 ) 0.70/0.95 ( skv_187, skv_188, skv_189, skv_190 ) 0.70/0.95 ( (vgetSomeExp (vreduce skv_187)), skv_188, skv_189, skv_190 ) 0.70/0.95 ( skv_278, skv_114, skv_115, skv_116 ) 0.70/0.95 ( skv_322, skv_323, skv_324, skv_325 ) 0.70/0.95 ) 0.70/0.95 (instantiation (forall ((Vx $$unsorted) (VS $$unsorted) (Ve $$unsorted)) (not (= (vabs Vx VS Ve) (vvar skv_14))) ) 0.70/0.95 ( skv_4, skv_5, veabs ) 0.70/0.95 ( skv_7, skv_9, skv_32 ) 0.70/0.95 ( skv_7, skv_9, skv_33 ) 0.70/0.95 ( skv_15, skv_16, skv_17 ) 0.70/0.95 ( skv_21, skv_20, skv_23 ) 0.70/0.95 ( skv_21, skv_271, skv_272 ) 0.70/0.95 ( skv_25, skv_26, skv_27 ) 0.70/0.95 ( skv_28, skv_30, skv_29 ) 0.70/0.95 ( skv_36, skv_38, skv_37 ) 0.70/0.95 ( skv_44, skv_46, skv_45 ) 0.70/0.95 ( skv_65, skv_64, (vabs skv_4 skv_5 veabs) ) 0.70/0.95 ( skv_65, skv_64, skv_11 ) 0.70/0.95 ( skv_65, skv_64, skv_12 ) 0.70/0.95 ( skv_65, skv_64, (vapp skv_11 skv_12) ) 0.70/0.95 ( skv_65, skv_64, skv_40 ) 0.70/0.95 ( skv_65, skv_64, skv_41 ) 0.70/0.95 ( skv_65, skv_64, skv_48 ) 0.70/0.95 ( skv_65, skv_64, skv_49 ) 0.70/0.95 ( skv_67, skv_68, skv_69 ) 0.70/0.95 ( skv_70, skv_71, skv_72 ) 0.70/0.95 ( skv_73, skv_74, skv_75 ) 0.70/0.95 ( skv_76, skv_77, skv_78 ) 0.70/0.95 ( skv_79, skv_80, skv_81 ) 0.70/0.95 ( skv_82, skv_83, skv_84 ) 0.70/0.95 ( skv_85, skv_86, skv_87 ) 0.70/0.95 ( skv_88, skv_89, skv_90 ) 0.70/0.95 ( skv_91, skv_92, skv_93 ) 0.70/0.95 ( skv_94, skv_95, skv_96 ) 0.70/0.95 ( skv_98, skv_99, skv_100 ) 0.70/0.95 ( skv_106, skv_105, skv_108 ) 0.70/0.95 ( skv_110, skv_111, skv_112 ) 0.70/0.95 ( skv_114, skv_115, skv_116 ) 0.70/0.95 ( skv_118, skv_119, skv_120 ) 0.70/0.95 ( skv_126, skv_125, skv_128 ) 0.70/0.95 ( skv_130, skv_131, skv_132 ) 0.70/0.95 ( skv_135, skv_136, skv_137 ) 0.70/0.95 ( skv_143, skv_142, skv_145 ) 0.70/0.95 ( skv_147, skv_148, skv_149 ) 0.70/0.95 ( skv_154, skv_153, skv_156 ) 0.70/0.95 ( skv_158, skv_159, skv_160 ) 0.70/0.95 ( skv_161, skv_162, skv_163 ) 0.70/0.95 ( skv_165, skv_166, skv_167 ) 0.70/0.95 ( skv_173, skv_172, skv_175 ) 0.70/0.95 ( skv_177, skv_178, skv_179 ) 0.70/0.95 ( skv_184, skv_183, skv_186 ) 0.70/0.95 ( skv_188, skv_189, skv_190 ) 0.70/0.95 ( skv_191, skv_193, skv_192 ) 0.70/0.95 ( skv_199, skv_201, skv_200 ) 0.70/0.95 ( skv_207, skv_209, skv_208 ) 0.70/0.95 ( skv_215, skv_217, skv_216 ) 0.70/0.95 ( skv_223, skv_225, skv_224 ) 0.70/0.95 ( skv_231, skv_233, skv_232 ) 0.70/0.95 ( skv_239, skv_241, skv_240 ) 0.70/0.95 ( skv_247, skv_249, skv_248 ) 0.70/0.95 ( skv_255, skv_257, skv_256 ) 0.70/0.95 ( skv_263, skv_265, skv_264 ) 0.70/0.95 ( skv_273, skv_274, skv_275 ) 0.70/0.95 ( skv_273, skv_274, (vsubst skv_21 skv_22 skv_275) ) 0.70/0.95 ( skv_277, skv_276, skv_278 ) 0.70/0.95 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_276, (vsubst skv_277 (vvar (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21)))) skv_278) ) 0.70/0.95 ( skv_323, skv_324, skv_325 ) 0.70/0.95 ) 0.70/0.95 (instantiation (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) skv_101)) ) 0.70/0.95 ( skv_4, skv_5, veabs ) 0.70/0.95 ( skv_7, skv_9, skv_32 ) 0.70/0.95 ( skv_7, skv_9, skv_33 ) 0.70/0.95 ( skv_15, skv_16, skv_17 ) 0.70/0.95 ( skv_21, skv_20, skv_23 ) 0.70/0.95 ( skv_21, skv_271, skv_272 ) 0.70/0.95 ( skv_25, skv_26, skv_27 ) 0.70/0.95 ( skv_28, skv_30, skv_29 ) 0.70/0.95 ( skv_36, skv_38, skv_37 ) 0.70/0.95 ( skv_44, skv_46, skv_45 ) 0.70/0.95 ( skv_65, skv_64, (vabs skv_4 skv_5 veabs) ) 0.70/0.95 ( skv_65, skv_64, skv_11 ) 0.70/0.95 ( skv_65, skv_64, skv_12 ) 0.70/0.95 ( skv_65, skv_64, (vapp skv_11 skv_12) ) 0.70/0.95 ( skv_65, skv_64, skv_40 ) 0.70/0.95 ( skv_65, skv_64, skv_41 ) 0.70/0.95 ( skv_65, skv_64, skv_48 ) 0.70/0.95 ( skv_65, skv_64, skv_49 ) 0.70/0.95 ( skv_67, skv_68, skv_69 ) 0.70/0.95 ( skv_70, skv_71, skv_72 ) 0.70/0.95 ( skv_73, skv_74, skv_75 ) 0.70/0.95 ( skv_76, skv_77, skv_78 ) 0.70/0.95 ( skv_79, skv_80, skv_81 ) 0.70/0.95 ( skv_82, skv_83, skv_84 ) 0.70/0.95 ( skv_85, skv_86, skv_87 ) 0.70/0.95 ( skv_88, skv_89, skv_90 ) 0.70/0.95 ( skv_91, skv_92, skv_93 ) 0.70/0.95 ( skv_94, skv_95, skv_96 ) 0.70/0.95 ( skv_98, skv_99, skv_100 ) 0.70/0.95 ( skv_106, skv_105, skv_108 ) 0.70/0.95 ( skv_110, skv_111, skv_112 ) 0.70/0.95 ( skv_114, skv_115, skv_116 ) 0.70/0.95 ( skv_118, skv_119, skv_120 ) 0.70/0.95 ( skv_126, skv_125, skv_128 ) 0.70/0.95 ( skv_130, skv_131, skv_132 ) 0.70/0.95 ( skv_135, skv_136, skv_137 ) 0.70/0.95 ( skv_143, skv_142, skv_145 ) 0.70/0.95 ( skv_147, skv_148, skv_149 ) 0.70/0.95 ( skv_154, skv_153, skv_156 ) 0.70/0.95 ( skv_158, skv_159, skv_160 ) 0.70/0.95 ( skv_161, skv_162, skv_163 ) 0.70/0.95 ( skv_165, skv_166, skv_167 ) 0.70/0.95 ( skv_173, skv_172, skv_175 ) 0.70/0.95 ( skv_177, skv_178, skv_179 ) 0.70/0.95 ( skv_184, skv_183, skv_186 ) 0.70/0.95 ( skv_188, skv_189, skv_190 ) 0.70/0.95 ( skv_191, skv_193, skv_192 ) 0.70/0.95 ( skv_199, skv_201, skv_200 ) 0.70/0.95 ( skv_207, skv_209, skv_208 ) 0.70/0.95 ( skv_215, skv_217, skv_216 ) 0.70/0.95 ( skv_223, skv_225, skv_224 ) 0.70/0.95 ( skv_231, skv_233, skv_232 ) 0.70/0.95 ( skv_239, skv_241, skv_240 ) 0.70/0.95 ( skv_247, skv_249, skv_248 ) 0.70/0.95 ( skv_255, skv_257, skv_256 ) 0.70/0.95 ( skv_263, skv_265, skv_264 ) 0.70/0.95 ( skv_273, skv_274, skv_275 ) 0.70/0.95 ( skv_273, skv_274, (vsubst skv_21 skv_22 skv_275) ) 0.70/0.95 ( skv_277, skv_276, skv_278 ) 0.70/0.95 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_276, (vsubst skv_277 (vvar (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21)))) skv_278) ) 0.70/0.95 ( skv_323, skv_324, skv_325 ) 0.70/0.95 ) 0.70/0.95 (instantiation (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) skv_104)) ) 0.70/0.95 ( skv_4, skv_5, veabs ) 0.70/0.95 ( skv_7, skv_9, skv_32 ) 0.70/0.95 ( skv_7, skv_9, skv_33 ) 0.70/0.95 ( skv_15, skv_16, skv_17 ) 0.70/0.95 ( skv_21, skv_20, skv_23 ) 0.70/0.95 ( skv_21, skv_271, skv_272 ) 0.70/0.95 ( skv_25, skv_26, skv_27 ) 0.70/0.95 ( skv_28, skv_30, skv_29 ) 0.70/0.95 ( skv_36, skv_38, skv_37 ) 0.70/0.95 ( skv_44, skv_46, skv_45 ) 0.70/0.95 ( skv_65, skv_64, (vabs skv_4 skv_5 veabs) ) 0.70/0.95 ( skv_65, skv_64, skv_11 ) 0.70/0.95 ( skv_65, skv_64, skv_12 ) 0.70/0.95 ( skv_65, skv_64, (vapp skv_11 skv_12) ) 0.70/0.95 ( skv_65, skv_64, skv_40 ) 0.70/0.95 ( skv_65, skv_64, skv_41 ) 0.70/0.95 ( skv_65, skv_64, skv_48 ) 0.70/0.95 ( skv_65, skv_64, skv_49 ) 0.70/0.95 ( skv_67, skv_68, skv_69 ) 0.70/0.95 ( skv_70, skv_71, skv_72 ) 0.70/0.95 ( skv_73, skv_74, skv_75 ) 0.70/0.95 ( skv_76, skv_77, skv_78 ) 0.70/0.95 ( skv_79, skv_80, skv_81 ) 0.70/0.95 ( skv_82, skv_83, skv_84 ) 0.70/0.95 ( skv_85, skv_86, skv_87 ) 0.70/0.95 ( skv_88, skv_89, skv_90 ) 0.70/0.95 ( skv_91, skv_92, skv_93 ) 0.70/0.95 ( skv_94, skv_95, skv_96 ) 0.70/0.95 ( skv_98, skv_99, skv_100 ) 0.70/0.95 ( skv_106, skv_105, skv_108 ) 0.70/0.95 ( skv_110, skv_111, skv_112 ) 0.70/0.95 ( skv_114, skv_115, skv_116 ) 0.70/0.95 ( skv_118, skv_119, skv_120 ) 0.70/0.95 ( skv_126, skv_125, skv_128 ) 0.70/0.95 ( skv_130, skv_131, skv_132 ) 0.70/0.95 ( skv_135, skv_136, skv_137 ) 0.70/0.95 ( skv_143, skv_142, skv_145 ) 0.70/0.95 ( skv_147, skv_148, skv_149 ) 0.70/0.95 ( skv_154, skv_153, skv_156 ) 0.70/0.95 ( skv_158, skv_159, skv_160 ) 0.70/0.95 ( skv_161, skv_162, skv_163 ) 0.70/0.95 ( skv_165, skv_166, skv_167 ) 0.70/0.95 ( skv_173, skv_172, skv_175 ) 0.70/0.95 ( skv_177, skv_178, skv_179 ) 0.70/0.95 ( skv_184, skv_183, skv_186 ) 0.70/0.95 ( skv_188, skv_189, skv_190 ) 0.70/0.95 ( skv_191, skv_193, skv_192 ) 0.70/0.95 ( skv_199, skv_201, skv_200 ) 0.70/0.95 ( skv_207, skv_209, skv_208 ) 0.70/0.95 ( skv_215, skv_217, skv_216 ) 0.70/0.95 ( skv_223, skv_225, skv_224 ) 0.70/0.95 ( skv_231, skv_233, skv_232 ) 0.70/0.95 ( skv_239, skv_241, skv_240 ) 0.70/0.95 ( skv_247, skv_249, skv_248 ) 0.70/0.95 ( skv_255, skv_257, skv_256 ) 0.70/0.95 ( skv_263, skv_265, skv_264 ) 0.70/0.95 ( skv_273, skv_274, skv_275 ) 0.70/0.95 ( skv_273, skv_274, (vsubst skv_21 skv_22 skv_275) ) 0.70/0.95 ( skv_277, skv_276, skv_278 ) 0.70/0.95 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_276, (vsubst skv_277 (vvar (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21)))) skv_278) ) 0.70/0.95 ( skv_323, skv_324, skv_325 ) 0.70/0.95 ) 0.70/0.95 (instantiation (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) skv_121)) ) 0.70/0.95 ( skv_4, skv_5, veabs ) 0.70/0.95 ( skv_7, skv_9, skv_32 ) 0.70/0.95 ( skv_7, skv_9, skv_33 ) 0.70/0.95 ( skv_15, skv_16, skv_17 ) 0.70/0.95 ( skv_21, skv_20, skv_23 ) 0.70/0.95 ( skv_21, skv_271, skv_272 ) 0.70/0.95 ( skv_25, skv_26, skv_27 ) 0.70/0.95 ( skv_28, skv_30, skv_29 ) 0.70/0.95 ( skv_36, skv_38, skv_37 ) 0.70/0.95 ( skv_44, skv_46, skv_45 ) 0.70/0.95 ( skv_65, skv_64, (vabs skv_4 skv_5 veabs) ) 0.70/0.95 ( skv_65, skv_64, skv_11 ) 0.70/0.95 ( skv_65, skv_64, skv_12 ) 0.70/0.95 ( skv_65, skv_64, (vapp skv_11 skv_12) ) 0.70/0.95 ( skv_65, skv_64, skv_40 ) 0.70/0.95 ( skv_65, skv_64, skv_41 ) 0.70/0.95 ( skv_65, skv_64, skv_48 ) 0.70/0.95 ( skv_65, skv_64, skv_49 ) 0.70/0.95 ( skv_67, skv_68, skv_69 ) 0.70/0.95 ( skv_70, skv_71, skv_72 ) 0.70/0.95 ( skv_73, skv_74, skv_75 ) 0.70/0.95 ( skv_76, skv_77, skv_78 ) 0.70/0.95 ( skv_79, skv_80, skv_81 ) 0.70/0.95 ( skv_82, skv_83, skv_84 ) 0.70/0.95 ( skv_85, skv_86, skv_87 ) 0.70/0.95 ( skv_88, skv_89, skv_90 ) 0.70/0.95 ( skv_91, skv_92, skv_93 ) 0.70/0.95 ( skv_94, skv_95, skv_96 ) 0.70/0.95 ( skv_98, skv_99, skv_100 ) 0.70/0.95 ( skv_106, skv_105, skv_108 ) 0.70/0.95 ( skv_110, skv_111, skv_112 ) 0.70/0.95 ( skv_114, skv_115, skv_116 ) 0.70/0.95 ( skv_118, skv_119, skv_120 ) 0.70/0.95 ( skv_126, skv_125, skv_128 ) 0.70/0.95 ( skv_130, skv_131, skv_132 ) 0.70/0.95 ( skv_135, skv_136, skv_137 ) 0.70/0.95 ( skv_143, skv_142, skv_145 ) 0.70/0.95 ( skv_147, skv_148, skv_149 ) 0.70/0.95 ( skv_154, skv_153, skv_156 ) 0.70/0.95 ( skv_158, skv_159, skv_160 ) 0.70/0.95 ( skv_161, skv_162, skv_163 ) 0.70/0.95 ( skv_165, skv_166, skv_167 ) 0.70/0.95 ( skv_173, skv_172, skv_175 ) 0.70/0.95 ( skv_177, skv_178, skv_179 ) 0.70/0.95 ( skv_184, skv_183, skv_186 ) 0.70/0.95 ( skv_188, skv_189, skv_190 ) 0.70/0.95 ( skv_191, skv_193, skv_192 ) 0.70/0.95 ( skv_199, skv_201, skv_200 ) 0.70/0.95 ( skv_207, skv_209, skv_208 ) 0.70/0.95 ( skv_215, skv_217, skv_216 ) 0.70/0.95 ( skv_223, skv_225, skv_224 ) 0.70/0.95 ( skv_231, skv_233, skv_232 ) 0.70/0.95 ( skv_239, skv_241, skv_240 ) 0.70/0.95 ( skv_247, skv_249, skv_248 ) 0.70/0.95 ( skv_255, skv_257, skv_256 ) 0.70/0.95 ( skv_263, skv_265, skv_264 ) 0.70/0.95 ( skv_273, skv_274, skv_275 ) 0.70/0.95 ( skv_273, skv_274, (vsubst skv_21 skv_22 skv_275) ) 0.70/0.95 ( skv_277, skv_276, skv_278 ) 0.70/0.95 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_276, (vsubst skv_277 (vvar (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21)))) skv_278) ) 0.70/0.95 ( skv_323, skv_324, skv_325 ) 0.70/0.95 ) 0.70/0.95 (instantiation (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) skv_124)) ) 0.70/0.95 ( skv_4, skv_5, veabs ) 0.70/0.95 ( skv_7, skv_9, skv_32 ) 0.70/0.95 ( skv_7, skv_9, skv_33 ) 0.70/0.95 ( skv_15, skv_16, skv_17 ) 0.70/0.95 ( skv_21, skv_20, skv_23 ) 0.70/0.95 ( skv_21, skv_271, skv_272 ) 0.70/0.95 ( skv_25, skv_26, skv_27 ) 0.70/0.95 ( skv_28, skv_30, skv_29 ) 0.70/0.95 ( skv_36, skv_38, skv_37 ) 0.70/0.95 ( skv_44, skv_46, skv_45 ) 0.70/0.95 ( skv_65, skv_64, (vabs skv_4 skv_5 veabs) ) 0.70/0.95 ( skv_65, skv_64, skv_11 ) 0.70/0.95 ( skv_65, skv_64, skv_12 ) 0.70/0.95 ( skv_65, skv_64, (vapp skv_11 skv_12) ) 0.70/0.95 ( skv_65, skv_64, skv_40 ) 0.70/0.95 ( skv_65, skv_64, skv_41 ) 0.70/0.95 ( skv_65, skv_64, skv_48 ) 0.70/0.95 ( skv_65, skv_64, skv_49 ) 0.70/0.95 ( skv_67, skv_68, skv_69 ) 0.70/0.95 ( skv_70, skv_71, skv_72 ) 0.70/0.95 ( skv_73, skv_74, skv_75 ) 0.70/0.95 ( skv_76, skv_77, skv_78 ) 0.70/0.95 ( skv_79, skv_80, skv_81 ) 0.70/0.95 ( skv_82, skv_83, skv_84 ) 0.70/0.95 ( skv_85, skv_86, skv_87 ) 0.70/0.95 ( skv_88, skv_89, skv_90 ) 0.70/0.95 ( skv_91, skv_92, skv_93 ) 0.70/0.95 ( skv_94, skv_95, skv_96 ) 0.70/0.95 ( skv_98, skv_99, skv_100 ) 0.70/0.95 ( skv_106, skv_105, skv_108 ) 0.70/0.95 ( skv_110, skv_111, skv_112 ) 0.70/0.95 ( skv_114, skv_115, skv_116 ) 0.70/0.95 ( skv_118, skv_119, skv_120 ) 0.70/0.95 ( skv_126, skv_125, skv_128 ) 0.70/0.95 ( skv_130, skv_131, skv_132 ) 0.70/0.95 ( skv_135, skv_136, skv_137 ) 0.70/0.95 ( skv_143, skv_142, skv_145 ) 0.70/0.95 ( skv_147, skv_148, skv_149 ) 0.70/0.95 ( skv_154, skv_153, skv_156 ) 0.70/0.95 ( skv_158, skv_159, skv_160 ) 0.70/0.95 ( skv_161, skv_162, skv_163 ) 0.70/0.95 ( skv_165, skv_166, skv_167 ) 0.70/0.95 ( skv_173, skv_172, skv_175 ) 0.70/0.95 ( skv_177, skv_178, skv_179 ) 0.70/0.95 ( skv_184, skv_183, skv_186 ) 0.70/0.95 ( skv_188, skv_189, skv_190 ) 0.70/0.95 ( skv_191, skv_193, skv_192 ) 0.70/0.95 ( skv_199, skv_201, skv_200 ) 0.70/0.95 ( skv_207, skv_209, skv_208 ) 0.70/0.95 ( skv_215, skv_217, skv_216 ) 0.70/0.95 ( skv_223, skv_225, skv_224 ) 0.70/0.95 ( skv_231, skv_233, skv_232 ) 0.70/0.95 ( skv_239, skv_241, skv_240 ) 0.70/0.95 ( skv_247, skv_249, skv_248 ) 0.70/0.95 ( skv_255, skv_257, skv_256 ) 0.70/0.95 ( skv_263, skv_265, skv_264 ) 0.70/0.95 ( skv_273, skv_274, skv_275 ) 0.70/0.95 ( skv_273, skv_274, (vsubst skv_21 skv_22 skv_275) ) 0.70/0.95 ( skv_277, skv_276, skv_278 ) 0.70/0.95 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_276, (vsubst skv_277 (vvar (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21)))) skv_278) ) 0.70/0.95 ( skv_323, skv_324, skv_325 ) 0.70/0.95 ) 0.70/0.95 (instantiation (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) skv_138)) ) 0.70/0.95 ( skv_4, skv_5, veabs ) 0.70/0.95 ( skv_7, skv_9, skv_32 ) 0.70/0.95 ( skv_7, skv_9, skv_33 ) 0.70/0.95 ( skv_15, skv_16, skv_17 ) 0.70/0.95 ( skv_21, skv_20, skv_23 ) 0.70/0.95 ( skv_21, skv_271, skv_272 ) 0.70/0.95 ( skv_25, skv_26, skv_27 ) 0.70/0.95 ( skv_28, skv_30, skv_29 ) 0.70/0.95 ( skv_36, skv_38, skv_37 ) 0.70/0.95 ( skv_44, skv_46, skv_45 ) 0.70/0.95 ( skv_65, skv_64, (vabs skv_4 skv_5 veabs) ) 0.70/0.95 ( skv_65, skv_64, skv_11 ) 0.70/0.95 ( skv_65, skv_64, skv_12 ) 0.70/0.95 ( skv_65, skv_64, (vapp skv_11 skv_12) ) 0.70/0.95 ( skv_65, skv_64, skv_40 ) 0.70/0.95 ( skv_65, skv_64, skv_41 ) 0.70/0.95 ( skv_65, skv_64, skv_48 ) 0.70/0.95 ( skv_65, skv_64, skv_49 ) 0.70/0.95 ( skv_67, skv_68, skv_69 ) 0.70/0.95 ( skv_70, skv_71, skv_72 ) 0.70/0.95 ( skv_73, skv_74, skv_75 ) 0.70/0.95 ( skv_76, skv_77, skv_78 ) 0.70/0.95 ( skv_79, skv_80, skv_81 ) 0.70/0.95 ( skv_82, skv_83, skv_84 ) 0.70/0.95 ( skv_85, skv_86, skv_87 ) 0.70/0.95 ( skv_88, skv_89, skv_90 ) 0.70/0.95 ( skv_91, skv_92, skv_93 ) 0.70/0.95 ( skv_94, skv_95, skv_96 ) 0.70/0.95 ( skv_98, skv_99, skv_100 ) 0.70/0.95 ( skv_106, skv_105, skv_108 ) 0.70/0.95 ( skv_110, skv_111, skv_112 ) 0.70/0.95 ( skv_114, skv_115, skv_116 ) 0.70/0.95 ( skv_118, skv_119, skv_120 ) 0.70/0.95 ( skv_126, skv_125, skv_128 ) 0.70/0.95 ( skv_130, skv_131, skv_132 ) 0.70/0.95 ( skv_135, skv_136, skv_137 ) 0.70/0.95 ( skv_143, skv_142, skv_145 ) 0.70/0.95 ( skv_147, skv_148, skv_149 ) 0.70/0.95 ( skv_154, skv_153, skv_156 ) 0.70/0.95 ( skv_158, skv_159, skv_160 ) 0.70/0.95 ( skv_161, skv_162, skv_163 ) 0.70/0.95 ( skv_165, skv_166, skv_167 ) 0.70/0.95 ( skv_173, skv_172, skv_175 ) 0.70/0.95 ( skv_177, skv_178, skv_179 ) 0.70/0.95 ( skv_184, skv_183, skv_186 ) 0.70/0.95 ( skv_188, skv_189, skv_190 ) 0.70/0.95 ( skv_191, skv_193, skv_192 ) 0.70/0.95 ( skv_199, skv_201, skv_200 ) 0.70/0.95 ( skv_207, skv_209, skv_208 ) 0.70/0.95 ( skv_215, skv_217, skv_216 ) 0.70/0.95 ( skv_223, skv_225, skv_224 ) 0.70/0.95 ( skv_231, skv_233, skv_232 ) 0.70/0.95 ( skv_239, skv_241, skv_240 ) 0.70/0.95 ( skv_247, skv_249, skv_248 ) 0.70/0.95 ( skv_255, skv_257, skv_256 ) 0.70/0.95 ( skv_263, skv_265, skv_264 ) 0.70/0.96 ( skv_273, skv_274, skv_275 ) 0.70/0.96 ( skv_273, skv_274, (vsubst skv_21 skv_22 skv_275) ) 0.70/0.96 ( skv_277, skv_276, skv_278 ) 0.70/0.96 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_276, (vsubst skv_277 (vvar (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21)))) skv_278) ) 0.70/0.96 ( skv_323, skv_324, skv_325 ) 0.70/0.96 ) 0.70/0.96 (instantiation (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) skv_141)) ) 0.70/0.96 ( skv_4, skv_5, veabs ) 0.70/0.96 ( skv_7, skv_9, skv_32 ) 0.70/0.96 ( skv_7, skv_9, skv_33 ) 0.70/0.96 ( skv_15, skv_16, skv_17 ) 0.70/0.96 ( skv_21, skv_20, skv_23 ) 0.70/0.96 ( skv_21, skv_271, skv_272 ) 0.70/0.96 ( skv_25, skv_26, skv_27 ) 0.70/0.96 ( skv_28, skv_30, skv_29 ) 0.70/0.96 ( skv_36, skv_38, skv_37 ) 0.70/0.96 ( skv_44, skv_46, skv_45 ) 0.70/0.96 ( skv_65, skv_64, (vabs skv_4 skv_5 veabs) ) 0.70/0.96 ( skv_65, skv_64, skv_11 ) 0.70/0.96 ( skv_65, skv_64, skv_12 ) 0.70/0.96 ( skv_65, skv_64, (vapp skv_11 skv_12) ) 0.70/0.96 ( skv_65, skv_64, skv_40 ) 0.70/0.96 ( skv_65, skv_64, skv_41 ) 0.70/0.96 ( skv_65, skv_64, skv_48 ) 0.70/0.96 ( skv_65, skv_64, skv_49 ) 0.70/0.96 ( skv_67, skv_68, skv_69 ) 0.70/0.96 ( skv_70, skv_71, skv_72 ) 0.70/0.96 ( skv_73, skv_74, skv_75 ) 0.70/0.96 ( skv_76, skv_77, skv_78 ) 0.70/0.96 ( skv_79, skv_80, skv_81 ) 0.70/0.96 ( skv_82, skv_83, skv_84 ) 0.70/0.96 ( skv_85, skv_86, skv_87 ) 0.70/0.96 ( skv_88, skv_89, skv_90 ) 0.70/0.96 ( skv_91, skv_92, skv_93 ) 0.70/0.96 ( skv_94, skv_95, skv_96 ) 0.70/0.96 ( skv_98, skv_99, skv_100 ) 0.70/0.96 ( skv_106, skv_105, skv_108 ) 0.70/0.96 ( skv_110, skv_111, skv_112 ) 0.70/0.96 ( skv_114, skv_115, skv_116 ) 0.70/0.96 ( skv_118, skv_119, skv_120 ) 0.70/0.96 ( skv_126, skv_125, skv_128 ) 0.70/0.96 ( skv_130, skv_131, skv_132 ) 0.70/0.96 ( skv_135, skv_136, skv_137 ) 0.70/0.96 ( skv_143, skv_142, skv_145 ) 0.70/0.96 ( skv_147, skv_148, skv_149 ) 0.70/0.96 ( skv_154, skv_153, skv_156 ) 0.70/0.96 ( skv_158, skv_159, skv_160 ) 0.70/0.96 ( skv_161, skv_162, skv_163 ) 0.70/0.96 ( skv_165, skv_166, skv_167 ) 0.70/0.96 ( skv_173, skv_172, skv_175 ) 0.70/0.96 ( skv_177, skv_178, skv_179 ) 0.70/0.96 ( skv_184, skv_183, skv_186 ) 0.70/0.96 ( skv_188, skv_189, skv_190 ) 0.70/0.96 ( skv_191, skv_193, skv_192 ) 0.70/0.96 ( skv_199, skv_201, skv_200 ) 0.70/0.96 ( skv_207, skv_209, skv_208 ) 0.70/0.96 ( skv_215, skv_217, skv_216 ) 0.70/0.96 ( skv_223, skv_225, skv_224 ) 0.70/0.96 ( skv_231, skv_233, skv_232 ) 0.70/0.96 ( skv_239, skv_241, skv_240 ) 0.70/0.96 ( skv_247, skv_249, skv_248 ) 0.70/0.96 ( skv_255, skv_257, skv_256 ) 0.70/0.96 ( skv_263, skv_265, skv_264 ) 0.70/0.96 ( skv_273, skv_274, skv_275 ) 0.70/0.96 ( skv_273, skv_274, (vsubst skv_21 skv_22 skv_275) ) 0.70/0.96 ( skv_277, skv_276, skv_278 ) 0.70/0.96 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_276, (vsubst skv_277 (vvar (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21)))) skv_278) ) 0.70/0.96 ( skv_323, skv_324, skv_325 ) 0.70/0.96 ) 0.70/0.96 (instantiation (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) skv_151)) ) 0.70/0.96 ( skv_4, skv_5, veabs ) 0.70/0.96 ( skv_7, skv_9, skv_32 ) 0.70/0.96 ( skv_7, skv_9, skv_33 ) 0.70/0.96 ( skv_15, skv_16, skv_17 ) 0.70/0.96 ( skv_21, skv_20, skv_23 ) 0.70/0.96 ( skv_21, skv_271, skv_272 ) 0.70/0.96 ( skv_25, skv_26, skv_27 ) 0.70/0.96 ( skv_28, skv_30, skv_29 ) 0.70/0.96 ( skv_36, skv_38, skv_37 ) 0.70/0.96 ( skv_44, skv_46, skv_45 ) 0.70/0.96 ( skv_65, skv_64, (vabs skv_4 skv_5 veabs) ) 0.70/0.96 ( skv_65, skv_64, skv_11 ) 0.70/0.96 ( skv_65, skv_64, skv_12 ) 0.70/0.96 ( skv_65, skv_64, (vapp skv_11 skv_12) ) 0.70/0.96 ( skv_65, skv_64, skv_40 ) 0.70/0.96 ( skv_65, skv_64, skv_41 ) 0.70/0.96 ( skv_65, skv_64, skv_48 ) 0.70/0.96 ( skv_65, skv_64, skv_49 ) 0.70/0.96 ( skv_67, skv_68, skv_69 ) 0.70/0.96 ( skv_70, skv_71, skv_72 ) 0.70/0.96 ( skv_73, skv_74, skv_75 ) 0.70/0.96 ( skv_76, skv_77, skv_78 ) 0.70/0.96 ( skv_79, skv_80, skv_81 ) 0.70/0.96 ( skv_82, skv_83, skv_84 ) 0.70/0.96 ( skv_85, skv_86, skv_87 ) 0.70/0.96 ( skv_88, skv_89, skv_90 ) 0.70/0.96 ( skv_91, skv_92, skv_93 ) 0.70/0.96 ( skv_94, skv_95, skv_96 ) 0.70/0.96 ( skv_98, skv_99, skv_100 ) 0.70/0.96 ( skv_106, skv_105, skv_108 ) 0.70/0.96 ( skv_110, skv_111, skv_112 ) 0.70/0.96 ( skv_114, skv_115, skv_116 ) 0.70/0.96 ( skv_118, skv_119, skv_120 ) 0.70/0.96 ( skv_126, skv_125, skv_128 ) 0.70/0.96 ( skv_130, skv_131, skv_132 ) 0.70/0.96 ( skv_135, skv_136, skv_137 ) 0.70/0.96 ( skv_143, skv_142, skv_145 ) 0.70/0.96 ( skv_147, skv_148, skv_149 ) 0.70/0.96 ( skv_154, skv_153, skv_156 ) 0.70/0.96 ( skv_158, skv_159, skv_160 ) 0.70/0.96 ( skv_161, skv_162, skv_163 ) 0.70/0.96 ( skv_165, skv_166, skv_167 ) 0.70/0.96 ( skv_173, skv_172, skv_175 ) 0.70/0.96 ( skv_177, skv_178, skv_179 ) 0.70/0.96 ( skv_184, skv_183, skv_186 ) 0.70/0.96 ( skv_188, skv_189, skv_190 ) 0.70/0.96 ( skv_191, skv_193, skv_192 ) 0.70/0.96 ( skv_199, skv_201, skv_200 ) 0.70/0.96 ( skv_207, skv_209, skv_208 ) 0.70/0.96 ( skv_215, skv_217, skv_216 ) 0.70/0.96 ( skv_223, skv_225, skv_224 ) 0.70/0.96 ( skv_231, skv_233, skv_232 ) 0.70/0.96 ( skv_239, skv_241, skv_240 ) 0.70/0.96 ( skv_247, skv_249, skv_248 ) 0.70/0.96 ( skv_255, skv_257, skv_256 ) 0.70/0.96 ( skv_263, skv_265, skv_264 ) 0.70/0.96 ( skv_273, skv_274, skv_275 ) 0.70/0.96 ( skv_273, skv_274, (vsubst skv_21 skv_22 skv_275) ) 0.70/0.96 ( skv_277, skv_276, skv_278 ) 0.70/0.96 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_276, (vsubst skv_277 (vvar (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21)))) skv_278) ) 0.70/0.96 ( skv_323, skv_324, skv_325 ) 0.70/0.96 ) 0.70/0.96 (instantiation (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) skv_168)) ) 0.70/0.96 ( skv_4, skv_5, veabs ) 0.70/0.96 ( skv_7, skv_9, skv_32 ) 0.70/0.96 ( skv_7, skv_9, skv_33 ) 0.70/0.96 ( skv_15, skv_16, skv_17 ) 0.70/0.96 ( skv_21, skv_20, skv_23 ) 0.70/0.96 ( skv_21, skv_271, skv_272 ) 0.70/0.96 ( skv_25, skv_26, skv_27 ) 0.70/0.96 ( skv_28, skv_30, skv_29 ) 0.70/0.96 ( skv_36, skv_38, skv_37 ) 0.70/0.96 ( skv_44, skv_46, skv_45 ) 0.70/0.96 ( skv_65, skv_64, (vabs skv_4 skv_5 veabs) ) 0.70/0.96 ( skv_65, skv_64, skv_11 ) 0.70/0.96 ( skv_65, skv_64, skv_12 ) 0.70/0.96 ( skv_65, skv_64, (vapp skv_11 skv_12) ) 0.70/0.96 ( skv_65, skv_64, skv_40 ) 0.70/0.96 ( skv_65, skv_64, skv_41 ) 0.70/0.96 ( skv_65, skv_64, skv_48 ) 0.70/0.96 ( skv_65, skv_64, skv_49 ) 0.70/0.96 ( skv_67, skv_68, skv_69 ) 0.70/0.96 ( skv_70, skv_71, skv_72 ) 0.70/0.96 ( skv_73, skv_74, skv_75 ) 0.70/0.96 ( skv_76, skv_77, skv_78 ) 0.70/0.96 ( skv_79, skv_80, skv_81 ) 0.70/0.96 ( skv_82, skv_83, skv_84 ) 0.70/0.96 ( skv_85, skv_86, skv_87 ) 0.70/0.96 ( skv_88, skv_89, skv_90 ) 0.70/0.96 ( skv_91, skv_92, skv_93 ) 0.70/0.96 ( skv_94, skv_95, skv_96 ) 0.70/0.96 ( skv_98, skv_99, skv_100 ) 0.70/0.96 ( skv_106, skv_105, skv_108 ) 0.70/0.96 ( skv_110, skv_111, skv_112 ) 0.70/0.96 ( skv_114, skv_115, skv_116 ) 0.70/0.96 ( skv_118, skv_119, skv_120 ) 0.70/0.96 ( skv_126, skv_125, skv_128 ) 0.70/0.96 ( skv_130, skv_131, skv_132 ) 0.70/0.96 ( skv_135, skv_136, skv_137 ) 0.70/0.96 ( skv_143, skv_142, skv_145 ) 0.70/0.96 ( skv_147, skv_148, skv_149 ) 0.70/0.96 ( skv_154, skv_153, skv_156 ) 0.70/0.96 ( skv_158, skv_159, skv_160 ) 0.70/0.96 ( skv_161, skv_162, skv_163 ) 0.70/0.96 ( skv_165, skv_166, skv_167 ) 0.70/0.96 ( skv_173, skv_172, skv_175 ) 0.70/0.96 ( skv_177, skv_178, skv_179 ) 0.70/0.96 ( skv_184, skv_183, skv_186 ) 0.70/0.96 ( skv_188, skv_189, skv_190 ) 0.70/0.96 ( skv_191, skv_193, skv_192 ) 0.70/0.96 ( skv_199, skv_201, skv_200 ) 0.70/0.96 ( skv_207, skv_209, skv_208 ) 0.70/0.96 ( skv_215, skv_217, skv_216 ) 0.70/0.96 ( skv_223, skv_225, skv_224 ) 0.70/0.96 ( skv_231, skv_233, skv_232 ) 0.70/0.96 ( skv_239, skv_241, skv_240 ) 0.70/0.96 ( skv_247, skv_249, skv_248 ) 0.70/0.96 ( skv_255, skv_257, skv_256 ) 0.70/0.96 ( skv_263, skv_265, skv_264 ) 0.70/0.96 ( skv_273, skv_274, skv_275 ) 0.70/0.96 ( skv_273, skv_274, (vsubst skv_21 skv_22 skv_275) ) 0.70/0.96 ( skv_277, skv_276, skv_278 ) 0.70/0.96 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_276, (vsubst skv_277 (vvar (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21)))) skv_278) ) 0.70/0.96 ( skv_323, skv_324, skv_325 ) 0.70/0.96 ) 0.70/0.96 (instantiation (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) skv_171)) ) 0.70/0.96 ( skv_4, skv_5, veabs ) 0.70/0.96 ( skv_7, skv_9, skv_32 ) 0.70/0.96 ( skv_7, skv_9, skv_33 ) 0.70/0.96 ( skv_15, skv_16, skv_17 ) 0.70/0.96 ( skv_21, skv_20, skv_23 ) 0.70/0.96 ( skv_21, skv_271, skv_272 ) 0.70/0.96 ( skv_25, skv_26, skv_27 ) 0.70/0.96 ( skv_28, skv_30, skv_29 ) 0.70/0.96 ( skv_36, skv_38, skv_37 ) 0.70/0.96 ( skv_44, skv_46, skv_45 ) 0.70/0.96 ( skv_65, skv_64, (vabs skv_4 skv_5 veabs) ) 0.70/0.96 ( skv_65, skv_64, skv_11 ) 0.70/0.96 ( skv_65, skv_64, skv_12 ) 0.70/0.96 ( skv_65, skv_64, (vapp skv_11 skv_12) ) 0.70/0.96 ( skv_65, skv_64, skv_40 ) 0.70/0.96 ( skv_65, skv_64, skv_41 ) 0.70/0.96 ( skv_65, skv_64, skv_48 ) 0.70/0.96 ( skv_65, skv_64, skv_49 ) 0.70/0.96 ( skv_67, skv_68, skv_69 ) 0.70/0.96 ( skv_70, skv_71, skv_72 ) 0.70/0.96 ( skv_73, skv_74, skv_75 ) 0.70/0.96 ( skv_76, skv_77, skv_78 ) 0.70/0.96 ( skv_79, skv_80, skv_81 ) 0.70/0.96 ( skv_82, skv_83, skv_84 ) 0.70/0.96 ( skv_85, skv_86, skv_87 ) 0.70/0.96 ( skv_88, skv_89, skv_90 ) 0.70/0.96 ( skv_91, skv_92, skv_93 ) 0.70/0.96 ( skv_94, skv_95, skv_96 ) 0.70/0.96 ( skv_98, skv_99, skv_100 ) 0.70/0.96 ( skv_106, skv_105, skv_108 ) 0.70/0.96 ( skv_110, skv_111, skv_112 ) 0.70/0.96 ( skv_114, skv_115, skv_116 ) 0.70/0.96 ( skv_118, skv_119, skv_120 ) 0.70/0.96 ( skv_126, skv_125, skv_128 ) 0.70/0.96 ( skv_130, skv_131, skv_132 ) 0.70/0.96 ( skv_135, skv_136, skv_137 ) 0.70/0.96 ( skv_143, skv_142, skv_145 ) 0.70/0.96 ( skv_147, skv_148, skv_149 ) 0.70/0.96 ( skv_154, skv_153, skv_156 ) 0.70/0.96 ( skv_158, skv_159, skv_160 ) 0.70/0.96 ( skv_161, skv_162, skv_163 ) 0.70/0.96 ( skv_165, skv_166, skv_167 ) 0.70/0.96 ( skv_173, skv_172, skv_175 ) 0.70/0.96 ( skv_177, skv_178, skv_179 ) 0.70/0.96 ( skv_184, skv_183, skv_186 ) 0.70/0.96 ( skv_188, skv_189, skv_190 ) 0.70/0.96 ( skv_191, skv_193, skv_192 ) 0.70/0.96 ( skv_199, skv_201, skv_200 ) 0.70/0.96 ( skv_207, skv_209, skv_208 ) 0.70/0.96 ( skv_215, skv_217, skv_216 ) 0.70/0.96 ( skv_223, skv_225, skv_224 ) 0.70/0.96 ( skv_231, skv_233, skv_232 ) 0.70/0.96 ( skv_239, skv_241, skv_240 ) 0.70/0.96 ( skv_247, skv_249, skv_248 ) 0.70/0.96 ( skv_255, skv_257, skv_256 ) 0.70/0.96 ( skv_263, skv_265, skv_264 ) 0.70/0.96 ( skv_273, skv_274, skv_275 ) 0.70/0.96 ( skv_273, skv_274, (vsubst skv_21 skv_22 skv_275) ) 0.70/0.96 ( skv_277, skv_276, skv_278 ) 0.70/0.96 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_276, (vsubst skv_277 (vvar (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21)))) skv_278) ) 0.70/0.96 ( skv_323, skv_324, skv_325 ) 0.70/0.96 ) 0.70/0.96 (instantiation (forall ((VVx0 $$unsorted) (VVS0 $$unsorted) (VVe10 $$unsorted)) (not (= (vabs VVx0 VVS0 VVe10) skv_181)) ) 0.70/0.96 ( skv_4, skv_5, veabs ) 0.70/0.96 ( skv_7, skv_9, skv_32 ) 0.70/0.96 ( skv_7, skv_9, skv_33 ) 0.70/0.96 ( skv_15, skv_16, skv_17 ) 0.70/0.96 ( skv_21, skv_20, skv_23 ) 0.70/0.96 ( skv_21, skv_271, skv_272 ) 0.70/0.96 ( skv_25, skv_26, skv_27 ) 0.70/0.96 ( skv_28, skv_30, skv_29 ) 0.70/0.96 ( skv_36, skv_38, skv_37 ) 0.70/0.96 ( skv_44, skv_46, skv_45 ) 0.70/0.96 ( skv_65, skv_64, (vabs skv_4 skv_5 veabs) ) 0.70/0.96 ( skv_65, skv_64, skv_11 ) 0.70/0.96 ( skv_65, skv_64, skv_12 ) 0.70/0.96 ( skv_65, skv_64, (vapp skv_11 skv_12) ) 0.70/0.96 ( skv_65, skv_64, skv_40 ) 0.70/0.96 ( skv_65, skv_64, skv_41 ) 0.70/0.96 ( skv_65, skv_64, skv_48 ) 0.70/0.96 ( skv_65, skv_64, skv_49 ) 0.70/0.96 ( skv_67, skv_68, skv_69 ) 0.70/0.96 ( skv_70, skv_71, skv_72 ) 0.70/0.96 ( skv_73, skv_74, skv_75 ) 0.70/0.96 ( skv_76, skv_77, skv_78 ) 0.70/0.96 ( skv_79, skv_80, skv_81 ) 0.70/0.96 ( skv_82, skv_83, skv_84 ) 0.70/0.96 ( skv_85, skv_86, skv_87 ) 0.70/0.96 ( skv_88, skv_89, skv_90 ) 0.70/0.96 ( skv_91, skv_92, skv_93 ) 0.70/0.96 ( skv_94, skv_95, skv_96 ) 0.70/0.96 ( skv_98, skv_99, skv_100 ) 0.70/0.96 ( skv_106, skv_105, skv_108 ) 0.70/0.96 ( skv_110, skv_111, skv_112 ) 0.70/0.96 ( skv_114, skv_115, skv_116 ) 0.70/0.96 ( skv_118, skv_119, skv_120 ) 0.70/0.96 ( skv_126, skv_125, skv_128 ) 0.70/0.96 ( skv_130, skv_131, skv_132 ) 0.70/0.96 ( skv_135, skv_136, skv_137 ) 0.70/0.96 ( skv_143, skv_142, skv_145 ) 0.70/0.96 ( skv_147, skv_148, skv_149 ) 0.70/0.96 ( skv_154, skv_153, skv_156 ) 0.70/0.96 ( skv_158, skv_159, skv_160 ) 0.70/0.96 ( skv_161, skv_162, skv_163 ) 0.70/0.96 ( skv_165, skv_166, skv_167 ) 0.70/0.96 ( skv_173, skv_172, skv_175 ) 0.70/0.96 ( skv_177, skv_178, skv_179 ) 0.70/0.96 ( skv_184, skv_183, skv_186 ) 0.70/0.96 ( skv_188, skv_189, skv_190 ) 0.70/0.96 ( skv_191, skv_193, skv_192 ) 0.70/0.96 ( skv_199, skv_201, skv_200 ) 0.70/0.96 ( skv_207, skv_209, skv_208 ) 0.70/0.96 ( skv_215, skv_217, skv_216 ) 0.70/0.96 ( skv_223, skv_225, skv_224 ) 0.70/0.96 ( skv_231, skv_233, skv_232 ) 0.70/0.96 ( skv_239, skv_241, skv_240 ) 0.70/0.96 ( skv_247, skv_249, skv_248 ) 0.70/0.96 ( skv_255, skv_257, skv_256 ) 0.70/0.96 ( skv_263, skv_265, skv_264 ) 0.70/0.96 ( skv_273, skv_274, skv_275 ) 0.70/0.96 ( skv_273, skv_274, (vsubst skv_21 skv_22 skv_275) ) 0.70/0.96 ( skv_277, skv_276, skv_278 ) 0.70/0.96 ( (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21))), skv_276, (vsubst skv_277 (vvar (vgensym (vapp (vapp skv_22 skv_278) (vvar skv_21)))) skv_278) ) 0.70/0.96 ( skv_323, skv_324, skv_325 ) 0.70/0.96 ) 0.70/0.96 % SZS output end Proof for theBenchmark 0.75/0.97 EOF