TSTP Solution File: SYN478+1 by SnakeForV---1.0

View Problem - Process Solution

%------------------------------------------------------------------------------
% File     : SnakeForV---1.0
% Problem  : SYN478+1 : TPTP v8.1.0. Released v2.1.0.
% Transfm  : none
% Format   : tptp:raw
% Command  : vampire --input_syntax tptp --proof tptp --output_axiom_names on --mode portfolio --schedule snake_tptp_uns --cores 0 -t %d %s

% Computer : n010.cluster.edu
% Model    : x86_64 x86_64
% CPU      : Intel(R) Xeon(R) CPU E5-2620 v4 2.10GHz
% Memory   : 8042.1875MB
% OS       : Linux 3.10.0-693.el7.x86_64
% CPULimit : 300s
% WCLimit  : 300s
% DateTime : Wed Aug 31 19:27:08 EDT 2022

% Result   : Theorem 1.98s 0.63s
% Output   : Refutation 1.98s
% Verified : 
% SZS Type : Refutation
%            Derivation depth      :   10
%            Number of leaves      :  124
% Syntax   : Number of formulae    :  510 (   1 unt;   0 def)
%            Number of atoms       : 6426 (   0 equ)
%            Maximal formula atoms :  688 (  12 avg)
%            Number of connectives : 8628 (2712   ~;3988   |;1393   &)
%                                         ( 123 <=>; 412  =>;   0  <=;   0 <~>)
%            Maximal formula depth :  116 (   6 avg)
%            Maximal term depth    :    1 (   1 avg)
%            Number of predicates  :  160 ( 159 usr; 156 prp; 0-1 aty)
%            Number of functors    :   31 (  31 usr;  31 con; 0-0 aty)
%            Number of variables   :  837 ( 837   !;   0   ?)

% Comments : 
%------------------------------------------------------------------------------
fof(f2096,plain,
    $false,
    inference(avatar_sat_refutation,[],[f266,f295,f309,f318,f327,f336,f345,f350,f355,f360,f388,f398,f426,f444,f458,f463,f482,f486,f491,f496,f504,f533,f538,f543,f548,f553,f562,f567,f573,f581,f586,f595,f600,f605,f610,f617,f637,f649,f654,f655,f660,f665,f679,f687,f697,f704,f724,f733,f740,f745,f751,f756,f761,f766,f772,f793,f803,f813,f814,f815,f816,f820,f825,f830,f835,f840,f851,f863,f875,f880,f885,f890,f902,f913,f921,f926,f931,f932,f940,f955,f960,f965,f966,f972,f982,f996,f1001,f1011,f1017,f1018,f1024,f1030,f1053,f1070,f1089,f1118,f1119,f1152,f1153,f1162,f1164,f1176,f1213,f1218,f1234,f1251,f1254,f1265,f1269,f1277,f1297,f1401,f1463,f1465,f1484,f1510,f1514,f1519,f1520,f1532,f1542,f1565,f1579,f1614,f1643,f1657,f1691,f1733,f1760,f1780,f1782,f1803,f1817,f1843,f1847,f1854,f1929,f1958,f1963,f1973,f1975,f1987,f2020,f2022,f2043,f2046,f2049,f2063,f2070,f2075,f2093]) ).

fof(f2093,plain,
    ( spl0_72
    | spl0_59
    | ~ spl0_54
    | ~ spl0_179 ),
    inference(avatar_split_clause,[],[f2088,f1516,f472,f493,f559]) ).

fof(f559,plain,
    ( spl0_72
  <=> c1_1(a1359) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_72])]) ).

fof(f493,plain,
    ( spl0_59
  <=> c3_1(a1359) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_59])]) ).

fof(f472,plain,
    ( spl0_54
  <=> ! [X96] :
        ( ~ c2_1(X96)
        | c3_1(X96)
        | c1_1(X96) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_54])]) ).

fof(f1516,plain,
    ( spl0_179
  <=> c2_1(a1359) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_179])]) ).

fof(f2088,plain,
    ( c3_1(a1359)
    | c1_1(a1359)
    | ~ spl0_54
    | ~ spl0_179 ),
    inference(resolution,[],[f1518,f473]) ).

fof(f473,plain,
    ( ! [X96] :
        ( ~ c2_1(X96)
        | c3_1(X96)
        | c1_1(X96) )
    | ~ spl0_54 ),
    inference(avatar_component_clause,[],[f472]) ).

fof(f1518,plain,
    ( c2_1(a1359)
    | ~ spl0_179 ),
    inference(avatar_component_clause,[],[f1516]) ).

fof(f2075,plain,
    ( ~ spl0_28
    | ~ spl0_122
    | ~ spl0_57
    | ~ spl0_91 ),
    inference(avatar_split_clause,[],[f2073,f657,f484,f832,f357]) ).

fof(f357,plain,
    ( spl0_28
  <=> c1_1(a1338) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_28])]) ).

fof(f832,plain,
    ( spl0_122
  <=> c2_1(a1338) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_122])]) ).

fof(f484,plain,
    ( spl0_57
  <=> ! [X24] :
        ( ~ c2_1(X24)
        | ~ c3_1(X24)
        | ~ c1_1(X24) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_57])]) ).

fof(f657,plain,
    ( spl0_91
  <=> c3_1(a1338) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_91])]) ).

fof(f2073,plain,
    ( ~ c2_1(a1338)
    | ~ c1_1(a1338)
    | ~ spl0_57
    | ~ spl0_91 ),
    inference(resolution,[],[f659,f485]) ).

fof(f485,plain,
    ( ! [X24] :
        ( ~ c3_1(X24)
        | ~ c1_1(X24)
        | ~ c2_1(X24) )
    | ~ spl0_57 ),
    inference(avatar_component_clause,[],[f484]) ).

fof(f659,plain,
    ( c3_1(a1338)
    | ~ spl0_91 ),
    inference(avatar_component_clause,[],[f657]) ).

fof(f2070,plain,
    ( spl0_165
    | spl0_145
    | ~ spl0_54
    | ~ spl0_79 ),
    inference(avatar_split_clause,[],[f2053,f592,f472,f962,f1124]) ).

fof(f1124,plain,
    ( spl0_165
  <=> c1_1(a1319) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_165])]) ).

fof(f962,plain,
    ( spl0_145
  <=> c3_1(a1319) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_145])]) ).

fof(f592,plain,
    ( spl0_79
  <=> c2_1(a1319) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_79])]) ).

fof(f2053,plain,
    ( c3_1(a1319)
    | c1_1(a1319)
    | ~ spl0_54
    | ~ spl0_79 ),
    inference(resolution,[],[f473,f594]) ).

fof(f594,plain,
    ( c2_1(a1319)
    | ~ spl0_79 ),
    inference(avatar_component_clause,[],[f592]) ).

fof(f2063,plain,
    ( spl0_167
    | spl0_119
    | ~ spl0_54
    | ~ spl0_58 ),
    inference(avatar_split_clause,[],[f2054,f488,f472,f810,f1148]) ).

fof(f1148,plain,
    ( spl0_167
  <=> c3_1(a1320) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_167])]) ).

fof(f810,plain,
    ( spl0_119
  <=> c1_1(a1320) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_119])]) ).

fof(f488,plain,
    ( spl0_58
  <=> c2_1(a1320) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_58])]) ).

fof(f2054,plain,
    ( c1_1(a1320)
    | c3_1(a1320)
    | ~ spl0_54
    | ~ spl0_58 ),
    inference(resolution,[],[f473,f490]) ).

fof(f490,plain,
    ( c2_1(a1320)
    | ~ spl0_58 ),
    inference(avatar_component_clause,[],[f488]) ).

fof(f2049,plain,
    ( ~ spl0_43
    | ~ spl0_60
    | ~ spl0_7
    | ~ spl0_172 ),
    inference(avatar_split_clause,[],[f2037,f1272,f264,f501,f419]) ).

fof(f419,plain,
    ( spl0_43
  <=> c0_1(a1356) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_43])]) ).

fof(f501,plain,
    ( spl0_60
  <=> c1_1(a1356) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_60])]) ).

fof(f264,plain,
    ( spl0_7
  <=> ! [X50] :
        ( ~ c1_1(X50)
        | ~ c2_1(X50)
        | ~ c0_1(X50) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_7])]) ).

fof(f1272,plain,
    ( spl0_172
  <=> c2_1(a1356) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_172])]) ).

fof(f2037,plain,
    ( ~ c1_1(a1356)
    | ~ c0_1(a1356)
    | ~ spl0_7
    | ~ spl0_172 ),
    inference(resolution,[],[f265,f1274]) ).

fof(f1274,plain,
    ( c2_1(a1356)
    | ~ spl0_172 ),
    inference(avatar_component_clause,[],[f1272]) ).

fof(f265,plain,
    ( ! [X50] :
        ( ~ c2_1(X50)
        | ~ c1_1(X50)
        | ~ c0_1(X50) )
    | ~ spl0_7 ),
    inference(avatar_component_clause,[],[f264]) ).

fof(f2046,plain,
    ( ~ spl0_181
    | ~ spl0_28
    | ~ spl0_7
    | ~ spl0_122 ),
    inference(avatar_split_clause,[],[f2041,f832,f264,f357,f1568]) ).

fof(f1568,plain,
    ( spl0_181
  <=> c0_1(a1338) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_181])]) ).

fof(f2041,plain,
    ( ~ c1_1(a1338)
    | ~ c0_1(a1338)
    | ~ spl0_7
    | ~ spl0_122 ),
    inference(resolution,[],[f265,f834]) ).

fof(f834,plain,
    ( c2_1(a1338)
    | ~ spl0_122 ),
    inference(avatar_component_clause,[],[f832]) ).

fof(f2043,plain,
    ( ~ spl0_127
    | ~ spl0_168
    | ~ spl0_7
    | ~ spl0_77 ),
    inference(avatar_split_clause,[],[f2040,f583,f264,f1159,f860]) ).

fof(f860,plain,
    ( spl0_127
  <=> c0_1(a1328) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_127])]) ).

fof(f1159,plain,
    ( spl0_168
  <=> c1_1(a1328) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_168])]) ).

fof(f583,plain,
    ( spl0_77
  <=> c2_1(a1328) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_77])]) ).

fof(f2040,plain,
    ( ~ c1_1(a1328)
    | ~ c0_1(a1328)
    | ~ spl0_7
    | ~ spl0_77 ),
    inference(resolution,[],[f265,f585]) ).

fof(f585,plain,
    ( c2_1(a1328)
    | ~ spl0_77 ),
    inference(avatar_component_clause,[],[f583]) ).

fof(f2022,plain,
    ( spl0_138
    | ~ spl0_130
    | ~ spl0_4
    | ~ spl0_178 ),
    inference(avatar_split_clause,[],[f2004,f1444,f253,f877,f923]) ).

fof(f923,plain,
    ( spl0_138
  <=> c2_1(a1344) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_138])]) ).

fof(f877,plain,
    ( spl0_130
  <=> c0_1(a1344) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_130])]) ).

fof(f253,plain,
    ( spl0_4
  <=> ! [X13] :
        ( ~ c0_1(X13)
        | ~ c3_1(X13)
        | c2_1(X13) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_4])]) ).

fof(f1444,plain,
    ( spl0_178
  <=> c3_1(a1344) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_178])]) ).

fof(f2004,plain,
    ( ~ c0_1(a1344)
    | c2_1(a1344)
    | ~ spl0_4
    | ~ spl0_178 ),
    inference(resolution,[],[f254,f1446]) ).

fof(f1446,plain,
    ( c3_1(a1344)
    | ~ spl0_178 ),
    inference(avatar_component_clause,[],[f1444]) ).

fof(f254,plain,
    ( ! [X13] :
        ( ~ c3_1(X13)
        | c2_1(X13)
        | ~ c0_1(X13) )
    | ~ spl0_4 ),
    inference(avatar_component_clause,[],[f253]) ).

fof(f2020,plain,
    ( spl0_150
    | ~ spl0_173
    | ~ spl0_4
    | ~ spl0_90 ),
    inference(avatar_split_clause,[],[f1991,f651,f253,f1280,f993]) ).

fof(f993,plain,
    ( spl0_150
  <=> c2_1(a1309) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_150])]) ).

fof(f1280,plain,
    ( spl0_173
  <=> c0_1(a1309) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_173])]) ).

fof(f651,plain,
    ( spl0_90
  <=> c3_1(a1309) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_90])]) ).

fof(f1991,plain,
    ( ~ c0_1(a1309)
    | c2_1(a1309)
    | ~ spl0_4
    | ~ spl0_90 ),
    inference(resolution,[],[f254,f653]) ).

fof(f653,plain,
    ( c3_1(a1309)
    | ~ spl0_90 ),
    inference(avatar_component_clause,[],[f651]) ).

fof(f1987,plain,
    ( spl0_136
    | spl0_132
    | ~ spl0_12
    | ~ spl0_170 ),
    inference(avatar_split_clause,[],[f1986,f1246,f286,f887,f910]) ).

fof(f910,plain,
    ( spl0_136
  <=> c2_1(a1326) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_136])]) ).

fof(f887,plain,
    ( spl0_132
  <=> c1_1(a1326) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_132])]) ).

fof(f286,plain,
    ( spl0_12
  <=> ! [X68] :
        ( c2_1(X68)
        | c1_1(X68)
        | ~ c0_1(X68) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_12])]) ).

fof(f1246,plain,
    ( spl0_170
  <=> c0_1(a1326) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_170])]) ).

fof(f1986,plain,
    ( c1_1(a1326)
    | c2_1(a1326)
    | ~ spl0_12
    | ~ spl0_170 ),
    inference(resolution,[],[f1248,f287]) ).

fof(f287,plain,
    ( ! [X68] :
        ( ~ c0_1(X68)
        | c1_1(X68)
        | c2_1(X68) )
    | ~ spl0_12 ),
    inference(avatar_component_clause,[],[f286]) ).

fof(f1248,plain,
    ( c0_1(a1326)
    | ~ spl0_170 ),
    inference(avatar_component_clause,[],[f1246]) ).

fof(f1975,plain,
    ( ~ spl0_77
    | ~ spl0_168
    | ~ spl0_57
    | ~ spl0_73 ),
    inference(avatar_split_clause,[],[f1974,f564,f484,f1159,f583]) ).

fof(f564,plain,
    ( spl0_73
  <=> c3_1(a1328) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_73])]) ).

fof(f1974,plain,
    ( ~ c1_1(a1328)
    | ~ c2_1(a1328)
    | ~ spl0_57
    | ~ spl0_73 ),
    inference(resolution,[],[f566,f485]) ).

fof(f566,plain,
    ( c3_1(a1328)
    | ~ spl0_73 ),
    inference(avatar_component_clause,[],[f564]) ).

fof(f1973,plain,
    ( spl0_174
    | spl0_105
    | ~ spl0_12
    | ~ spl0_66 ),
    inference(avatar_split_clause,[],[f1972,f530,f286,f730,f1320]) ).

fof(f1320,plain,
    ( spl0_174
  <=> c2_1(a1324) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_174])]) ).

fof(f730,plain,
    ( spl0_105
  <=> c1_1(a1324) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_105])]) ).

fof(f530,plain,
    ( spl0_66
  <=> c0_1(a1324) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_66])]) ).

fof(f1972,plain,
    ( c1_1(a1324)
    | c2_1(a1324)
    | ~ spl0_12
    | ~ spl0_66 ),
    inference(resolution,[],[f532,f287]) ).

fof(f532,plain,
    ( c0_1(a1324)
    | ~ spl0_66 ),
    inference(avatar_component_clause,[],[f530]) ).

fof(f1963,plain,
    ( ~ spl0_156
    | ~ spl0_130
    | ~ spl0_71
    | ~ spl0_178 ),
    inference(avatar_split_clause,[],[f1950,f1444,f555,f877,f1027]) ).

fof(f1027,plain,
    ( spl0_156
  <=> c1_1(a1344) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_156])]) ).

fof(f555,plain,
    ( spl0_71
  <=> ! [X66] :
        ( ~ c1_1(X66)
        | ~ c0_1(X66)
        | ~ c3_1(X66) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_71])]) ).

fof(f1950,plain,
    ( ~ c0_1(a1344)
    | ~ c1_1(a1344)
    | ~ spl0_71
    | ~ spl0_178 ),
    inference(resolution,[],[f556,f1446]) ).

fof(f556,plain,
    ( ! [X66] :
        ( ~ c3_1(X66)
        | ~ c1_1(X66)
        | ~ c0_1(X66) )
    | ~ spl0_71 ),
    inference(avatar_component_clause,[],[f555]) ).

fof(f1958,plain,
    ( ~ spl0_115
    | ~ spl0_120
    | ~ spl0_71
    | ~ spl0_164 ),
    inference(avatar_split_clause,[],[f1952,f1094,f555,f822,f790]) ).

fof(f790,plain,
    ( spl0_115
  <=> c1_1(a1307) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_115])]) ).

fof(f822,plain,
    ( spl0_120
  <=> c0_1(a1307) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_120])]) ).

fof(f1094,plain,
    ( spl0_164
  <=> c3_1(a1307) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_164])]) ).

fof(f1952,plain,
    ( ~ c0_1(a1307)
    | ~ c1_1(a1307)
    | ~ spl0_71
    | ~ spl0_164 ),
    inference(resolution,[],[f556,f1096]) ).

fof(f1096,plain,
    ( c3_1(a1307)
    | ~ spl0_164 ),
    inference(avatar_component_clause,[],[f1094]) ).

fof(f1929,plain,
    ( spl0_92
    | spl0_165
    | ~ spl0_62
    | ~ spl0_79 ),
    inference(avatar_split_clause,[],[f1920,f592,f511,f1124,f662]) ).

fof(f662,plain,
    ( spl0_92
  <=> c0_1(a1319) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_92])]) ).

fof(f511,plain,
    ( spl0_62
  <=> ! [X20] :
        ( ~ c2_1(X20)
        | c0_1(X20)
        | c1_1(X20) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_62])]) ).

fof(f1920,plain,
    ( c1_1(a1319)
    | c0_1(a1319)
    | ~ spl0_62
    | ~ spl0_79 ),
    inference(resolution,[],[f512,f594]) ).

fof(f512,plain,
    ( ! [X20] :
        ( ~ c2_1(X20)
        | c0_1(X20)
        | c1_1(X20) )
    | ~ spl0_62 ),
    inference(avatar_component_clause,[],[f511]) ).

fof(f1854,plain,
    ( spl0_119
    | ~ spl0_58
    | ~ spl0_21
    | ~ spl0_167 ),
    inference(avatar_split_clause,[],[f1826,f1148,f325,f488,f810]) ).

fof(f325,plain,
    ( spl0_21
  <=> ! [X10] :
        ( c1_1(X10)
        | ~ c2_1(X10)
        | ~ c3_1(X10) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_21])]) ).

fof(f1826,plain,
    ( ~ c2_1(a1320)
    | c1_1(a1320)
    | ~ spl0_21
    | ~ spl0_167 ),
    inference(resolution,[],[f326,f1150]) ).

fof(f1150,plain,
    ( c3_1(a1320)
    | ~ spl0_167 ),
    inference(avatar_component_clause,[],[f1148]) ).

fof(f326,plain,
    ( ! [X10] :
        ( ~ c3_1(X10)
        | ~ c2_1(X10)
        | c1_1(X10) )
    | ~ spl0_21 ),
    inference(avatar_component_clause,[],[f325]) ).

fof(f1847,plain,
    ( spl0_62
    | ~ spl0_21
    | ~ spl0_56 ),
    inference(avatar_split_clause,[],[f1839,f480,f325,f511]) ).

fof(f480,plain,
    ( spl0_56
  <=> ! [X27] :
        ( c1_1(X27)
        | c3_1(X27)
        | c0_1(X27) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_56])]) ).

fof(f1839,plain,
    ( ! [X0] :
        ( c1_1(X0)
        | c0_1(X0)
        | ~ c2_1(X0) )
    | ~ spl0_21
    | ~ spl0_56 ),
    inference(duplicate_literal_removal,[],[f1821]) ).

fof(f1821,plain,
    ( ! [X0] :
        ( ~ c2_1(X0)
        | c0_1(X0)
        | c1_1(X0)
        | c1_1(X0) )
    | ~ spl0_21
    | ~ spl0_56 ),
    inference(resolution,[],[f326,f481]) ).

fof(f481,plain,
    ( ! [X27] :
        ( c3_1(X27)
        | c1_1(X27)
        | c0_1(X27) )
    | ~ spl0_56 ),
    inference(avatar_component_clause,[],[f480]) ).

fof(f1843,plain,
    ( spl0_105
    | ~ spl0_174
    | ~ spl0_21
    | ~ spl0_123 ),
    inference(avatar_split_clause,[],[f1827,f837,f325,f1320,f730]) ).

fof(f837,plain,
    ( spl0_123
  <=> c3_1(a1324) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_123])]) ).

fof(f1827,plain,
    ( ~ c2_1(a1324)
    | c1_1(a1324)
    | ~ spl0_21
    | ~ spl0_123 ),
    inference(resolution,[],[f326,f839]) ).

fof(f839,plain,
    ( c3_1(a1324)
    | ~ spl0_123 ),
    inference(avatar_component_clause,[],[f837]) ).

fof(f1817,plain,
    ( spl0_143
    | spl0_144
    | ~ spl0_5
    | ~ spl0_166 ),
    inference(avatar_split_clause,[],[f1806,f1129,f257,f957,f952]) ).

fof(f952,plain,
    ( spl0_143
  <=> c3_1(a1316) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_143])]) ).

fof(f957,plain,
    ( spl0_144
  <=> c0_1(a1316) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_144])]) ).

fof(f257,plain,
    ( spl0_5
  <=> ! [X49] :
        ( c0_1(X49)
        | c3_1(X49)
        | ~ c1_1(X49) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_5])]) ).

fof(f1129,plain,
    ( spl0_166
  <=> c1_1(a1316) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_166])]) ).

fof(f1806,plain,
    ( c0_1(a1316)
    | c3_1(a1316)
    | ~ spl0_5
    | ~ spl0_166 ),
    inference(resolution,[],[f258,f1131]) ).

fof(f1131,plain,
    ( c1_1(a1316)
    | ~ spl0_166 ),
    inference(avatar_component_clause,[],[f1129]) ).

fof(f258,plain,
    ( ! [X49] :
        ( ~ c1_1(X49)
        | c3_1(X49)
        | c0_1(X49) )
    | ~ spl0_5 ),
    inference(avatar_component_clause,[],[f257]) ).

fof(f1803,plain,
    ( spl0_138
    | spl0_178
    | ~ spl0_33
    | ~ spl0_156 ),
    inference(avatar_split_clause,[],[f1801,f1027,f377,f1444,f923]) ).

fof(f377,plain,
    ( spl0_33
  <=> ! [X79] :
        ( ~ c1_1(X79)
        | c3_1(X79)
        | c2_1(X79) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_33])]) ).

fof(f1801,plain,
    ( c3_1(a1344)
    | c2_1(a1344)
    | ~ spl0_33
    | ~ spl0_156 ),
    inference(resolution,[],[f1029,f378]) ).

fof(f378,plain,
    ( ! [X79] :
        ( ~ c1_1(X79)
        | c2_1(X79)
        | c3_1(X79) )
    | ~ spl0_33 ),
    inference(avatar_component_clause,[],[f377]) ).

fof(f1029,plain,
    ( c1_1(a1344)
    | ~ spl0_156 ),
    inference(avatar_component_clause,[],[f1027]) ).

fof(f1782,plain,
    ( ~ spl0_165
    | spl0_92
    | ~ spl0_3
    | ~ spl0_79 ),
    inference(avatar_split_clause,[],[f1779,f592,f250,f662,f1124]) ).

fof(f250,plain,
    ( spl0_3
  <=> ! [X12] :
        ( ~ c2_1(X12)
        | c0_1(X12)
        | ~ c1_1(X12) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_3])]) ).

fof(f1779,plain,
    ( c0_1(a1319)
    | ~ c1_1(a1319)
    | ~ spl0_3
    | ~ spl0_79 ),
    inference(resolution,[],[f594,f251]) ).

fof(f251,plain,
    ( ! [X12] :
        ( ~ c2_1(X12)
        | c0_1(X12)
        | ~ c1_1(X12) )
    | ~ spl0_3 ),
    inference(avatar_component_clause,[],[f250]) ).

fof(f1780,plain,
    ( spl0_92
    | spl0_145
    | ~ spl0_36
    | ~ spl0_79 ),
    inference(avatar_split_clause,[],[f1777,f592,f390,f962,f662]) ).

fof(f390,plain,
    ( spl0_36
  <=> ! [X87] :
        ( c0_1(X87)
        | ~ c2_1(X87)
        | c3_1(X87) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_36])]) ).

fof(f1777,plain,
    ( c3_1(a1319)
    | c0_1(a1319)
    | ~ spl0_36
    | ~ spl0_79 ),
    inference(resolution,[],[f594,f391]) ).

fof(f391,plain,
    ( ! [X87] :
        ( ~ c2_1(X87)
        | c3_1(X87)
        | c0_1(X87) )
    | ~ spl0_36 ),
    inference(avatar_component_clause,[],[f390]) ).

fof(f1760,plain,
    ( spl0_72
    | spl0_25
    | ~ spl0_56
    | spl0_59 ),
    inference(avatar_split_clause,[],[f1758,f493,f480,f342,f559]) ).

fof(f342,plain,
    ( spl0_25
  <=> c0_1(a1359) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_25])]) ).

fof(f1758,plain,
    ( c0_1(a1359)
    | c1_1(a1359)
    | ~ spl0_56
    | spl0_59 ),
    inference(resolution,[],[f481,f495]) ).

fof(f495,plain,
    ( ~ c3_1(a1359)
    | spl0_59 ),
    inference(avatar_component_clause,[],[f493]) ).

fof(f1733,plain,
    ( spl0_127
    | spl0_73
    | ~ spl0_36
    | ~ spl0_77 ),
    inference(avatar_split_clause,[],[f1723,f583,f390,f564,f860]) ).

fof(f1723,plain,
    ( c3_1(a1328)
    | c0_1(a1328)
    | ~ spl0_36
    | ~ spl0_77 ),
    inference(resolution,[],[f391,f585]) ).

fof(f1691,plain,
    ( spl0_161
    | spl0_18
    | ~ spl0_12
    | ~ spl0_48 ),
    inference(avatar_split_clause,[],[f1690,f441,f286,f311,f1072]) ).

fof(f1072,plain,
    ( spl0_161
  <=> c2_1(a1312) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_161])]) ).

fof(f311,plain,
    ( spl0_18
  <=> c1_1(a1312) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_18])]) ).

fof(f441,plain,
    ( spl0_48
  <=> c0_1(a1312) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_48])]) ).

fof(f1690,plain,
    ( c1_1(a1312)
    | c2_1(a1312)
    | ~ spl0_12
    | ~ spl0_48 ),
    inference(resolution,[],[f443,f287]) ).

fof(f443,plain,
    ( c0_1(a1312)
    | ~ spl0_48 ),
    inference(avatar_component_clause,[],[f441]) ).

fof(f1657,plain,
    ( spl0_145
    | spl0_92
    | ~ spl0_5
    | ~ spl0_165 ),
    inference(avatar_split_clause,[],[f1645,f1124,f257,f662,f962]) ).

fof(f1645,plain,
    ( c0_1(a1319)
    | c3_1(a1319)
    | ~ spl0_5
    | ~ spl0_165 ),
    inference(resolution,[],[f258,f1126]) ).

fof(f1126,plain,
    ( c1_1(a1319)
    | ~ spl0_165 ),
    inference(avatar_component_clause,[],[f1124]) ).

fof(f1643,plain,
    ( ~ spl0_103
    | spl0_95
    | ~ spl0_37
    | ~ spl0_125 ),
    inference(avatar_split_clause,[],[f1641,f848,f393,f676,f721]) ).

fof(f721,plain,
    ( spl0_103
  <=> c2_1(a1334) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_103])]) ).

fof(f676,plain,
    ( spl0_95
  <=> c0_1(a1334) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_95])]) ).

fof(f393,plain,
    ( spl0_37
  <=> ! [X86] :
        ( c0_1(X86)
        | ~ c2_1(X86)
        | ~ c3_1(X86) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_37])]) ).

fof(f848,plain,
    ( spl0_125
  <=> c3_1(a1334) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_125])]) ).

fof(f1641,plain,
    ( c0_1(a1334)
    | ~ c2_1(a1334)
    | ~ spl0_37
    | ~ spl0_125 ),
    inference(resolution,[],[f850,f394]) ).

fof(f394,plain,
    ( ! [X86] :
        ( ~ c3_1(X86)
        | ~ c2_1(X86)
        | c0_1(X86) )
    | ~ spl0_37 ),
    inference(avatar_component_clause,[],[f393]) ).

fof(f850,plain,
    ( c3_1(a1334)
    | ~ spl0_125 ),
    inference(avatar_component_clause,[],[f848]) ).

fof(f1614,plain,
    ( spl0_99
    | ~ spl0_117
    | ~ spl0_37
    | ~ spl0_159 ),
    inference(avatar_split_clause,[],[f1613,f1050,f393,f800,f701]) ).

fof(f701,plain,
    ( spl0_99
  <=> c0_1(a1348) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_99])]) ).

fof(f800,plain,
    ( spl0_117
  <=> c2_1(a1348) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_117])]) ).

fof(f1050,plain,
    ( spl0_159
  <=> c3_1(a1348) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_159])]) ).

fof(f1613,plain,
    ( ~ c2_1(a1348)
    | c0_1(a1348)
    | ~ spl0_37
    | ~ spl0_159 ),
    inference(resolution,[],[f1052,f394]) ).

fof(f1052,plain,
    ( c3_1(a1348)
    | ~ spl0_159 ),
    inference(avatar_component_clause,[],[f1050]) ).

fof(f1579,plain,
    ( spl0_181
    | ~ spl0_122
    | ~ spl0_37
    | ~ spl0_91 ),
    inference(avatar_split_clause,[],[f1575,f657,f393,f832,f1568]) ).

fof(f1575,plain,
    ( ~ c2_1(a1338)
    | c0_1(a1338)
    | ~ spl0_37
    | ~ spl0_91 ),
    inference(resolution,[],[f659,f394]) ).

fof(f1565,plain,
    ( spl0_154
    | spl0_52
    | ~ spl0_76
    | ~ spl0_153 ),
    inference(avatar_split_clause,[],[f1558,f1008,f579,f460,f1014]) ).

fof(f1014,plain,
    ( spl0_154
  <=> c2_1(a1411) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_154])]) ).

fof(f460,plain,
    ( spl0_52
  <=> c3_1(a1411) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_52])]) ).

fof(f579,plain,
    ( spl0_76
  <=> ! [X43] :
        ( c3_1(X43)
        | c2_1(X43)
        | ~ c0_1(X43) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_76])]) ).

fof(f1008,plain,
    ( spl0_153
  <=> c0_1(a1411) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_153])]) ).

fof(f1558,plain,
    ( c3_1(a1411)
    | c2_1(a1411)
    | ~ spl0_76
    | ~ spl0_153 ),
    inference(resolution,[],[f1010,f580]) ).

fof(f580,plain,
    ( ! [X43] :
        ( ~ c0_1(X43)
        | c3_1(X43)
        | c2_1(X43) )
    | ~ spl0_76 ),
    inference(avatar_component_clause,[],[f579]) ).

fof(f1010,plain,
    ( c0_1(a1411)
    | ~ spl0_153 ),
    inference(avatar_component_clause,[],[f1008]) ).

fof(f1542,plain,
    ( ~ spl0_58
    | spl0_111
    | ~ spl0_37
    | ~ spl0_167 ),
    inference(avatar_split_clause,[],[f1541,f1148,f393,f763,f488]) ).

fof(f763,plain,
    ( spl0_111
  <=> c0_1(a1320) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_111])]) ).

fof(f1541,plain,
    ( c0_1(a1320)
    | ~ c2_1(a1320)
    | ~ spl0_37
    | ~ spl0_167 ),
    inference(resolution,[],[f1150,f394]) ).

fof(f1532,plain,
    ( spl0_172
    | spl0_155
    | ~ spl0_43
    | ~ spl0_76 ),
    inference(avatar_split_clause,[],[f1527,f579,f419,f1021,f1272]) ).

fof(f1021,plain,
    ( spl0_155
  <=> c3_1(a1356) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_155])]) ).

fof(f1527,plain,
    ( c3_1(a1356)
    | c2_1(a1356)
    | ~ spl0_43
    | ~ spl0_76 ),
    inference(resolution,[],[f580,f421]) ).

fof(f421,plain,
    ( c0_1(a1356)
    | ~ spl0_43 ),
    inference(avatar_component_clause,[],[f419]) ).

fof(f1520,plain,
    ( spl0_144
    | spl0_109
    | ~ spl0_75
    | spl0_143 ),
    inference(avatar_split_clause,[],[f1500,f952,f576,f753,f957]) ).

fof(f753,plain,
    ( spl0_109
  <=> c2_1(a1316) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_109])]) ).

fof(f576,plain,
    ( spl0_75
  <=> ! [X42] :
        ( c0_1(X42)
        | c3_1(X42)
        | c2_1(X42) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_75])]) ).

fof(f1500,plain,
    ( c2_1(a1316)
    | c0_1(a1316)
    | ~ spl0_75
    | spl0_143 ),
    inference(resolution,[],[f577,f954]) ).

fof(f954,plain,
    ( ~ c3_1(a1316)
    | spl0_143 ),
    inference(avatar_component_clause,[],[f952]) ).

fof(f577,plain,
    ( ! [X42] :
        ( c3_1(X42)
        | c0_1(X42)
        | c2_1(X42) )
    | ~ spl0_75 ),
    inference(avatar_component_clause,[],[f576]) ).

fof(f1519,plain,
    ( spl0_179
    | spl0_25
    | spl0_59
    | ~ spl0_75 ),
    inference(avatar_split_clause,[],[f1506,f576,f493,f342,f1516]) ).

fof(f1506,plain,
    ( c0_1(a1359)
    | c2_1(a1359)
    | spl0_59
    | ~ spl0_75 ),
    inference(resolution,[],[f577,f495]) ).

fof(f1514,plain,
    ( spl0_136
    | spl0_170
    | ~ spl0_75
    | spl0_107 ),
    inference(avatar_split_clause,[],[f1502,f742,f576,f1246,f910]) ).

fof(f742,plain,
    ( spl0_107
  <=> c3_1(a1326) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_107])]) ).

fof(f1502,plain,
    ( c0_1(a1326)
    | c2_1(a1326)
    | ~ spl0_75
    | spl0_107 ),
    inference(resolution,[],[f577,f744]) ).

fof(f744,plain,
    ( ~ c3_1(a1326)
    | spl0_107 ),
    inference(avatar_component_clause,[],[f742]) ).

fof(f1510,plain,
    ( spl0_67
    | spl0_160
    | spl0_34
    | ~ spl0_75 ),
    inference(avatar_split_clause,[],[f1504,f576,f381,f1055,f535]) ).

fof(f535,plain,
    ( spl0_67
  <=> c2_1(a1333) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_67])]) ).

fof(f1055,plain,
    ( spl0_160
  <=> c0_1(a1333) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_160])]) ).

fof(f381,plain,
    ( spl0_34
  <=> c3_1(a1333) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_34])]) ).

fof(f1504,plain,
    ( c0_1(a1333)
    | c2_1(a1333)
    | spl0_34
    | ~ spl0_75 ),
    inference(resolution,[],[f577,f383]) ).

fof(f383,plain,
    ( ~ c3_1(a1333)
    | spl0_34 ),
    inference(avatar_component_clause,[],[f381]) ).

fof(f1484,plain,
    ( ~ spl0_127
    | ~ spl0_168
    | ~ spl0_71
    | ~ spl0_73 ),
    inference(avatar_split_clause,[],[f1483,f564,f555,f1159,f860]) ).

fof(f1483,plain,
    ( ~ c1_1(a1328)
    | ~ c0_1(a1328)
    | ~ spl0_71
    | ~ spl0_73 ),
    inference(resolution,[],[f556,f566]) ).

fof(f1465,plain,
    ( spl0_150
    | spl0_173
    | ~ spl0_68
    | ~ spl0_74 ),
    inference(avatar_split_clause,[],[f1450,f570,f541,f1280,f993]) ).

fof(f541,plain,
    ( spl0_68
  <=> ! [X69] :
        ( c2_1(X69)
        | c0_1(X69)
        | ~ c1_1(X69) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_68])]) ).

fof(f570,plain,
    ( spl0_74
  <=> c1_1(a1309) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_74])]) ).

fof(f1450,plain,
    ( c0_1(a1309)
    | c2_1(a1309)
    | ~ spl0_68
    | ~ spl0_74 ),
    inference(resolution,[],[f542,f572]) ).

fof(f572,plain,
    ( c1_1(a1309)
    | ~ spl0_74 ),
    inference(avatar_component_clause,[],[f570]) ).

fof(f542,plain,
    ( ! [X69] :
        ( ~ c1_1(X69)
        | c0_1(X69)
        | c2_1(X69) )
    | ~ spl0_68 ),
    inference(avatar_component_clause,[],[f541]) ).

fof(f1463,plain,
    ( spl0_157
    | spl0_151
    | ~ spl0_68
    | ~ spl0_80 ),
    inference(avatar_split_clause,[],[f1451,f597,f541,f998,f1037]) ).

fof(f1037,plain,
    ( spl0_157
  <=> c2_1(a1325) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_157])]) ).

fof(f998,plain,
    ( spl0_151
  <=> c0_1(a1325) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_151])]) ).

fof(f597,plain,
    ( spl0_80
  <=> c1_1(a1325) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_80])]) ).

fof(f1451,plain,
    ( c0_1(a1325)
    | c2_1(a1325)
    | ~ spl0_68
    | ~ spl0_80 ),
    inference(resolution,[],[f542,f599]) ).

fof(f599,plain,
    ( c1_1(a1325)
    | ~ spl0_80 ),
    inference(avatar_component_clause,[],[f597]) ).

fof(f1401,plain,
    ( spl0_111
    | spl0_119
    | ~ spl0_58
    | ~ spl0_62 ),
    inference(avatar_split_clause,[],[f1387,f511,f488,f810,f763]) ).

fof(f1387,plain,
    ( c1_1(a1320)
    | c0_1(a1320)
    | ~ spl0_58
    | ~ spl0_62 ),
    inference(resolution,[],[f512,f490]) ).

fof(f1297,plain,
    ( ~ spl0_157
    | spl0_151
    | ~ spl0_26
    | ~ spl0_37 ),
    inference(avatar_split_clause,[],[f1287,f393,f347,f998,f1037]) ).

fof(f347,plain,
    ( spl0_26
  <=> c3_1(a1325) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_26])]) ).

fof(f1287,plain,
    ( c0_1(a1325)
    | ~ c2_1(a1325)
    | ~ spl0_26
    | ~ spl0_37 ),
    inference(resolution,[],[f394,f349]) ).

fof(f349,plain,
    ( c3_1(a1325)
    | ~ spl0_26 ),
    inference(avatar_component_clause,[],[f347]) ).

fof(f1277,plain,
    ( ~ spl0_43
    | spl0_155
    | ~ spl0_13
    | ~ spl0_60 ),
    inference(avatar_split_clause,[],[f1276,f501,f290,f1021,f419]) ).

fof(f290,plain,
    ( spl0_13
  <=> ! [X30] :
        ( ~ c0_1(X30)
        | c3_1(X30)
        | ~ c1_1(X30) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_13])]) ).

fof(f1276,plain,
    ( c3_1(a1356)
    | ~ c0_1(a1356)
    | ~ spl0_13
    | ~ spl0_60 ),
    inference(resolution,[],[f503,f291]) ).

fof(f291,plain,
    ( ! [X30] :
        ( ~ c1_1(X30)
        | c3_1(X30)
        | ~ c0_1(X30) )
    | ~ spl0_13 ),
    inference(avatar_component_clause,[],[f290]) ).

fof(f503,plain,
    ( c1_1(a1356)
    | ~ spl0_60 ),
    inference(avatar_component_clause,[],[f501]) ).

fof(f1269,plain,
    ( spl0_131
    | ~ spl0_148
    | ~ spl0_21
    | ~ spl0_171 ),
    inference(avatar_split_clause,[],[f1268,f1262,f325,f979,f882]) ).

fof(f882,plain,
    ( spl0_131
  <=> c1_1(a1311) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_131])]) ).

fof(f979,plain,
    ( spl0_148
  <=> c2_1(a1311) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_148])]) ).

fof(f1262,plain,
    ( spl0_171
  <=> c3_1(a1311) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_171])]) ).

fof(f1268,plain,
    ( ~ c2_1(a1311)
    | c1_1(a1311)
    | ~ spl0_21
    | ~ spl0_171 ),
    inference(resolution,[],[f1264,f326]) ).

fof(f1264,plain,
    ( c3_1(a1311)
    | ~ spl0_171 ),
    inference(avatar_component_clause,[],[f1262]) ).

fof(f1265,plain,
    ( spl0_171
    | ~ spl0_87
    | ~ spl0_31
    | ~ spl0_148 ),
    inference(avatar_split_clause,[],[f1259,f979,f370,f634,f1262]) ).

fof(f634,plain,
    ( spl0_87
  <=> c0_1(a1311) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_87])]) ).

fof(f370,plain,
    ( spl0_31
  <=> ! [X94] :
        ( ~ c2_1(X94)
        | ~ c0_1(X94)
        | c3_1(X94) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_31])]) ).

fof(f1259,plain,
    ( ~ c0_1(a1311)
    | c3_1(a1311)
    | ~ spl0_31
    | ~ spl0_148 ),
    inference(resolution,[],[f981,f371]) ).

fof(f371,plain,
    ( ! [X94] :
        ( ~ c2_1(X94)
        | ~ c0_1(X94)
        | c3_1(X94) )
    | ~ spl0_31 ),
    inference(avatar_component_clause,[],[f370]) ).

fof(f981,plain,
    ( c2_1(a1311)
    | ~ spl0_148 ),
    inference(avatar_component_clause,[],[f979]) ).

fof(f1254,plain,
    ( spl0_166
    | spl0_144
    | ~ spl0_56
    | spl0_143 ),
    inference(avatar_split_clause,[],[f1237,f952,f480,f957,f1129]) ).

fof(f1237,plain,
    ( c0_1(a1316)
    | c1_1(a1316)
    | ~ spl0_56
    | spl0_143 ),
    inference(resolution,[],[f481,f954]) ).

fof(f1251,plain,
    ( spl0_165
    | spl0_92
    | ~ spl0_56
    | spl0_145 ),
    inference(avatar_split_clause,[],[f1238,f962,f480,f662,f1124]) ).

fof(f1238,plain,
    ( c0_1(a1319)
    | c1_1(a1319)
    | ~ spl0_56
    | spl0_145 ),
    inference(resolution,[],[f481,f964]) ).

fof(f964,plain,
    ( ~ c3_1(a1319)
    | spl0_145 ),
    inference(avatar_component_clause,[],[f962]) ).

fof(f1234,plain,
    ( ~ spl0_127
    | spl0_168
    | ~ spl0_38
    | ~ spl0_77 ),
    inference(avatar_split_clause,[],[f1226,f583,f396,f1159,f860]) ).

fof(f396,plain,
    ( spl0_38
  <=> ! [X85] :
        ( ~ c2_1(X85)
        | c1_1(X85)
        | ~ c0_1(X85) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_38])]) ).

fof(f1226,plain,
    ( c1_1(a1328)
    | ~ c0_1(a1328)
    | ~ spl0_38
    | ~ spl0_77 ),
    inference(resolution,[],[f397,f585]) ).

fof(f397,plain,
    ( ! [X85] :
        ( ~ c2_1(X85)
        | ~ c0_1(X85)
        | c1_1(X85) )
    | ~ spl0_38 ),
    inference(avatar_component_clause,[],[f396]) ).

fof(f1218,plain,
    ( spl0_34
    | ~ spl0_160
    | ~ spl0_13
    | ~ spl0_96 ),
    inference(avatar_split_clause,[],[f1210,f684,f290,f1055,f381]) ).

fof(f684,plain,
    ( spl0_96
  <=> c1_1(a1333) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_96])]) ).

fof(f1210,plain,
    ( ~ c0_1(a1333)
    | c3_1(a1333)
    | ~ spl0_13
    | ~ spl0_96 ),
    inference(resolution,[],[f291,f686]) ).

fof(f686,plain,
    ( c1_1(a1333)
    | ~ spl0_96 ),
    inference(avatar_component_clause,[],[f684]) ).

fof(f1213,plain,
    ( spl0_164
    | ~ spl0_120
    | ~ spl0_13
    | ~ spl0_115 ),
    inference(avatar_split_clause,[],[f1212,f790,f290,f822,f1094]) ).

fof(f1212,plain,
    ( ~ c0_1(a1307)
    | c3_1(a1307)
    | ~ spl0_13
    | ~ spl0_115 ),
    inference(resolution,[],[f291,f792]) ).

fof(f792,plain,
    ( c1_1(a1307)
    | ~ spl0_115 ),
    inference(avatar_component_clause,[],[f790]) ).

fof(f1176,plain,
    ( spl0_34
    | spl0_67
    | ~ spl0_33
    | ~ spl0_96 ),
    inference(avatar_split_clause,[],[f1173,f684,f377,f535,f381]) ).

fof(f1173,plain,
    ( c2_1(a1333)
    | c3_1(a1333)
    | ~ spl0_33
    | ~ spl0_96 ),
    inference(resolution,[],[f378,f686]) ).

fof(f1164,plain,
    ( spl0_73
    | ~ spl0_127
    | ~ spl0_31
    | ~ spl0_77 ),
    inference(avatar_split_clause,[],[f1163,f583,f370,f860,f564]) ).

fof(f1163,plain,
    ( ~ c0_1(a1328)
    | c3_1(a1328)
    | ~ spl0_31
    | ~ spl0_77 ),
    inference(resolution,[],[f585,f371]) ).

fof(f1162,plain,
    ( spl0_168
    | ~ spl0_77
    | ~ spl0_21
    | ~ spl0_73 ),
    inference(avatar_split_clause,[],[f1155,f564,f325,f583,f1159]) ).

fof(f1155,plain,
    ( ~ c2_1(a1328)
    | c1_1(a1328)
    | ~ spl0_21
    | ~ spl0_73 ),
    inference(resolution,[],[f566,f326]) ).

fof(f1153,plain,
    ( spl0_139
    | ~ spl0_48
    | ~ spl0_31
    | ~ spl0_161 ),
    inference(avatar_split_clause,[],[f1139,f1072,f370,f441,f928]) ).

fof(f928,plain,
    ( spl0_139
  <=> c3_1(a1312) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_139])]) ).

fof(f1139,plain,
    ( ~ c0_1(a1312)
    | c3_1(a1312)
    | ~ spl0_31
    | ~ spl0_161 ),
    inference(resolution,[],[f371,f1074]) ).

fof(f1074,plain,
    ( c2_1(a1312)
    | ~ spl0_161 ),
    inference(avatar_component_clause,[],[f1072]) ).

fof(f1152,plain,
    ( ~ spl0_110
    | spl0_140
    | ~ spl0_31
    | ~ spl0_50 ),
    inference(avatar_split_clause,[],[f1143,f451,f370,f937,f758]) ).

fof(f758,plain,
    ( spl0_110
  <=> c0_1(a1394) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_110])]) ).

fof(f937,plain,
    ( spl0_140
  <=> c3_1(a1394) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_140])]) ).

fof(f451,plain,
    ( spl0_50
  <=> c2_1(a1394) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_50])]) ).

fof(f1143,plain,
    ( c3_1(a1394)
    | ~ c0_1(a1394)
    | ~ spl0_31
    | ~ spl0_50 ),
    inference(resolution,[],[f371,f453]) ).

fof(f453,plain,
    ( c2_1(a1394)
    | ~ spl0_50 ),
    inference(avatar_component_clause,[],[f451]) ).

fof(f1119,plain,
    ( spl0_136
    | spl0_132
    | ~ spl0_14
    | spl0_107 ),
    inference(avatar_split_clause,[],[f1114,f742,f293,f887,f910]) ).

fof(f293,plain,
    ( spl0_14
  <=> ! [X31] :
        ( c2_1(X31)
        | c1_1(X31)
        | c3_1(X31) ) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_14])]) ).

fof(f1114,plain,
    ( c1_1(a1326)
    | c2_1(a1326)
    | ~ spl0_14
    | spl0_107 ),
    inference(resolution,[],[f294,f744]) ).

fof(f294,plain,
    ( ! [X31] :
        ( c3_1(X31)
        | c1_1(X31)
        | c2_1(X31) )
    | ~ spl0_14 ),
    inference(avatar_component_clause,[],[f293]) ).

fof(f1118,plain,
    ( spl0_12
    | ~ spl0_4
    | ~ spl0_14 ),
    inference(avatar_split_clause,[],[f1117,f293,f253,f286]) ).

fof(f1117,plain,
    ( ! [X0] :
        ( c1_1(X0)
        | c2_1(X0)
        | ~ c0_1(X0) )
    | ~ spl0_4
    | ~ spl0_14 ),
    inference(duplicate_literal_removal,[],[f1110]) ).

fof(f1110,plain,
    ( ! [X0] :
        ( ~ c0_1(X0)
        | c1_1(X0)
        | c2_1(X0)
        | c2_1(X0) )
    | ~ spl0_4
    | ~ spl0_14 ),
    inference(resolution,[],[f294,f254]) ).

fof(f1089,plain,
    ( ~ spl0_115
    | ~ spl0_120
    | ~ spl0_7
    | ~ spl0_70 ),
    inference(avatar_split_clause,[],[f1088,f550,f264,f822,f790]) ).

fof(f550,plain,
    ( spl0_70
  <=> c2_1(a1307) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_70])]) ).

fof(f1088,plain,
    ( ~ c0_1(a1307)
    | ~ c1_1(a1307)
    | ~ spl0_7
    | ~ spl0_70 ),
    inference(resolution,[],[f552,f265]) ).

fof(f552,plain,
    ( c2_1(a1307)
    | ~ spl0_70 ),
    inference(avatar_component_clause,[],[f550]) ).

fof(f1070,plain,
    ( spl0_121
    | spl0_69
    | ~ spl0_12
    | ~ spl0_81 ),
    inference(avatar_split_clause,[],[f1067,f602,f286,f545,f827]) ).

fof(f827,plain,
    ( spl0_121
  <=> c2_1(a1330) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_121])]) ).

fof(f545,plain,
    ( spl0_69
  <=> c1_1(a1330) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_69])]) ).

fof(f602,plain,
    ( spl0_81
  <=> c0_1(a1330) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_81])]) ).

fof(f1067,plain,
    ( c1_1(a1330)
    | c2_1(a1330)
    | ~ spl0_12
    | ~ spl0_81 ),
    inference(resolution,[],[f287,f604]) ).

fof(f604,plain,
    ( c0_1(a1330)
    | ~ spl0_81 ),
    inference(avatar_component_clause,[],[f602]) ).

fof(f1053,plain,
    ( spl0_159
    | spl0_99
    | ~ spl0_5
    | ~ spl0_27 ),
    inference(avatar_split_clause,[],[f1046,f352,f257,f701,f1050]) ).

fof(f352,plain,
    ( spl0_27
  <=> c1_1(a1348) ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_27])]) ).

fof(f1046,plain,
    ( c0_1(a1348)
    | c3_1(a1348)
    | ~ spl0_5
    | ~ spl0_27 ),
    inference(resolution,[],[f258,f354]) ).

fof(f354,plain,
    ( c1_1(a1348)
    | ~ spl0_27 ),
    inference(avatar_component_clause,[],[f352]) ).

fof(f1030,plain,
    ( spl0_156
    | ~ spl0_63 ),
    inference(avatar_split_clause,[],[f37,f515,f1027]) ).

fof(f515,plain,
    ( spl0_63
  <=> hskp19 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_63])]) ).

fof(f37,plain,
    ( ~ hskp19
    | c1_1(a1344) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f7,plain,
    ( ( hskp0
      | ! [X0] :
          ( ~ ndr1_0
          | ~ c1_1(X0)
          | ~ c0_1(X0)
          | c2_1(X0) )
      | ! [X1] :
          ( ~ ndr1_0
          | ~ c3_1(X1)
          | c0_1(X1)
          | ~ c2_1(X1) ) )
    & ( ! [X2] :
          ( c2_1(X2)
          | c0_1(X2)
          | c3_1(X2)
          | ~ ndr1_0 )
      | hskp6
      | ! [X3] :
          ( ~ ndr1_0
          | ~ c2_1(X3)
          | c0_1(X3)
          | ~ c1_1(X3) ) )
    & ( ( c1_1(a1314)
        & ~ c3_1(a1314)
        & ndr1_0
        & ~ c0_1(a1314) )
      | ~ hskp5 )
    & ( hskp16
      | ! [X4] :
          ( ~ c2_1(X4)
          | c0_1(X4)
          | ~ c1_1(X4)
          | ~ ndr1_0 )
      | hskp17 )
    & ( hskp18
      | hskp20 )
    & ( ( ndr1_0
        & c2_1(a1334)
        & ~ c0_1(a1334)
        & c3_1(a1334) )
      | ~ hskp17 )
    & ( hskp26
      | hskp12 )
    & ( ! [X5] :
          ( c1_1(X5)
          | ~ ndr1_0
          | ~ c0_1(X5)
          | c3_1(X5) )
      | hskp19
      | hskp15 )
    & ( hskp11
      | ! [X6] :
          ( ~ c0_1(X6)
          | ~ ndr1_0
          | ~ c2_1(X6)
          | c3_1(X6) )
      | ! [X7] :
          ( ~ c1_1(X7)
          | ~ c0_1(X7)
          | ~ ndr1_0
          | ~ c3_1(X7) ) )
    & ( hskp25
      | hskp28
      | hskp14 )
    & ( ! [X8] :
          ( ~ ndr1_0
          | ~ c2_1(X8)
          | c3_1(X8)
          | ~ c0_1(X8) )
      | hskp14
      | ! [X9] :
          ( ~ ndr1_0
          | c1_1(X9)
          | c2_1(X9)
          | ~ c0_1(X9) ) )
    & ( ! [X10] :
          ( ~ ndr1_0
          | ~ c2_1(X10)
          | c1_1(X10)
          | ~ c3_1(X10) )
      | hskp27
      | ! [X11] :
          ( ~ c0_1(X11)
          | ~ ndr1_0
          | c2_1(X11)
          | ~ c3_1(X11) ) )
    & ( hskp28
      | ! [X12] :
          ( ~ ndr1_0
          | c0_1(X12)
          | ~ c2_1(X12)
          | ~ c1_1(X12) )
      | ! [X13] :
          ( ~ ndr1_0
          | ~ c3_1(X13)
          | c2_1(X13)
          | ~ c0_1(X13) ) )
    & ( hskp20
      | hskp2
      | ! [X14] :
          ( ~ c0_1(X14)
          | ~ ndr1_0
          | ~ c2_1(X14)
          | c1_1(X14) ) )
    & ( ! [X15] :
          ( ~ c0_1(X15)
          | c3_1(X15)
          | ~ ndr1_0
          | ~ c1_1(X15) )
      | hskp20
      | hskp24 )
    & ( ! [X16] :
          ( c2_1(X16)
          | ~ ndr1_0
          | c0_1(X16)
          | c3_1(X16) )
      | ! [X17] :
          ( ~ ndr1_0
          | c0_1(X17)
          | ~ c2_1(X17)
          | ~ c3_1(X17) )
      | hskp8 )
    & ( ~ hskp22
      | ( c0_1(a1356)
        & ~ c3_1(a1356)
        & ndr1_0
        & c1_1(a1356) ) )
    & ( hskp10
      | hskp6
      | ! [X18] :
          ( c2_1(X18)
          | ~ ndr1_0
          | c3_1(X18)
          | c0_1(X18) ) )
    & ( hskp30
      | ! [X19] :
          ( ~ c2_1(X19)
          | ~ ndr1_0
          | ~ c0_1(X19)
          | c3_1(X19) )
      | hskp18 )
    & ( hskp4
      | hskp2
      | ! [X20] :
          ( ~ c2_1(X20)
          | c1_1(X20)
          | ~ ndr1_0
          | c0_1(X20) ) )
    & ( ~ hskp0
      | ( ~ c1_1(a1306)
        & ~ c2_1(a1306)
        & ~ c0_1(a1306)
        & ndr1_0 ) )
    & ( ( ~ c0_1(a1348)
        & c1_1(a1348)
        & ndr1_0
        & c2_1(a1348) )
      | ~ hskp20 )
    & ( ! [X21] :
          ( c3_1(X21)
          | ~ ndr1_0
          | ~ c1_1(X21)
          | ~ c0_1(X21) )
      | hskp8
      | hskp14 )
    & ( hskp7
      | hskp21
      | hskp3 )
    & ( ( ~ c3_1(a1333)
        & ~ c2_1(a1333)
        & ndr1_0
        & c1_1(a1333) )
      | ~ hskp16 )
    & ( ! [X22] :
          ( c2_1(X22)
          | ~ c3_1(X22)
          | ~ ndr1_0
          | c0_1(X22) )
      | hskp13
      | ! [X23] :
          ( ~ ndr1_0
          | ~ c0_1(X23)
          | c1_1(X23)
          | c3_1(X23) ) )
    & ( ! [X24] :
          ( ~ ndr1_0
          | ~ c1_1(X24)
          | ~ c3_1(X24)
          | ~ c2_1(X24) )
      | ! [X25] :
          ( ~ ndr1_0
          | ~ c2_1(X25)
          | ~ c0_1(X25)
          | ~ c1_1(X25) )
      | hskp17 )
    & ( hskp3
      | ! [X26] :
          ( ~ c3_1(X26)
          | ~ ndr1_0
          | c2_1(X26)
          | ~ c0_1(X26) )
      | ! [X27] :
          ( c0_1(X27)
          | c3_1(X27)
          | c1_1(X27)
          | ~ ndr1_0 ) )
    & ( hskp7
      | ! [X28] :
          ( c2_1(X28)
          | ~ ndr1_0
          | ~ c3_1(X28)
          | ~ c1_1(X28) )
      | ! [X29] :
          ( c1_1(X29)
          | c0_1(X29)
          | ~ ndr1_0
          | ~ c3_1(X29) ) )
    & ( hskp1
      | hskp23
      | hskp2 )
    & ( hskp20
      | hskp6
      | hskp25 )
    & ( ~ hskp4
      | ( ~ c3_1(a1312)
        & ndr1_0
        & c0_1(a1312)
        & ~ c1_1(a1312) ) )
    & ( ( ~ c2_1(a1316)
        & ~ c3_1(a1316)
        & ndr1_0
        & ~ c0_1(a1316) )
      | ~ hskp7 )
    & ( hskp29
      | hskp26
      | hskp20 )
    & ( ! [X30] :
          ( c3_1(X30)
          | ~ c0_1(X30)
          | ~ c1_1(X30)
          | ~ ndr1_0 )
      | ! [X31] :
          ( ~ ndr1_0
          | c3_1(X31)
          | c1_1(X31)
          | c2_1(X31) )
      | ! [X32] :
          ( ~ ndr1_0
          | ~ c1_1(X32)
          | c0_1(X32)
          | c3_1(X32) ) )
    & ( ( c0_1(a1394)
        & ~ c3_1(a1394)
        & ndr1_0
        & c2_1(a1394) )
      | ~ hskp25 )
    & ( ( ndr1_0
        & c1_1(a1339)
        & ~ c2_1(a1339)
        & ~ c0_1(a1339) )
      | ~ hskp18 )
    & ( hskp11
      | ! [X33] :
          ( c2_1(X33)
          | c0_1(X33)
          | ~ c1_1(X33)
          | ~ ndr1_0 )
      | ! [X34] :
          ( c1_1(X34)
          | ~ ndr1_0
          | c2_1(X34)
          | c3_1(X34) ) )
    & ( ! [X35] :
          ( ~ ndr1_0
          | ~ c2_1(X35)
          | ~ c0_1(X35)
          | c1_1(X35) )
      | ! [X36] :
          ( c3_1(X36)
          | c2_1(X36)
          | ~ c0_1(X36)
          | ~ ndr1_0 )
      | ! [X37] :
          ( c1_1(X37)
          | ~ c3_1(X37)
          | ~ ndr1_0
          | c2_1(X37) ) )
    & ( hskp7
      | hskp4
      | hskp3 )
    & ( ( c3_1(a1309)
        & ~ c2_1(a1309)
        & ndr1_0
        & c1_1(a1309) )
      | ~ hskp2 )
    & ( hskp23
      | hskp0
      | hskp6 )
    & ( ( ndr1_0
        & c3_1(a1325)
        & ~ c0_1(a1325)
        & c1_1(a1325) )
      | ~ hskp12 )
    & ( hskp27
      | hskp1
      | ! [X38] :
          ( c0_1(X38)
          | c2_1(X38)
          | c1_1(X38)
          | ~ ndr1_0 ) )
    & ( hskp15
      | ! [X39] :
          ( ~ c0_1(X39)
          | c3_1(X39)
          | c1_1(X39)
          | ~ ndr1_0 )
      | ! [X40] :
          ( c2_1(X40)
          | ~ ndr1_0
          | ~ c0_1(X40)
          | c1_1(X40) ) )
    & ( ( ndr1_0
        & ~ c1_1(a1308)
        & c3_1(a1308)
        & ~ c2_1(a1308) )
      | ~ hskp1 )
    & ( ! [X41] :
          ( ~ ndr1_0
          | ~ c3_1(X41)
          | ~ c2_1(X41)
          | c1_1(X41) )
      | hskp22
      | hskp23 )
    & ( ! [X42] :
          ( c3_1(X42)
          | c0_1(X42)
          | c2_1(X42)
          | ~ ndr1_0 )
      | ! [X43] :
          ( c3_1(X43)
          | ~ ndr1_0
          | c2_1(X43)
          | ~ c0_1(X43) )
      | hskp9 )
    & ( hskp9
      | hskp2
      | hskp30 )
    & ( ( c2_1(a1320)
        & ~ c1_1(a1320)
        & ~ c0_1(a1320)
        & ndr1_0 )
      | ~ hskp9 )
    & ( ! [X44] :
          ( ~ c2_1(X44)
          | ~ ndr1_0
          | ~ c0_1(X44)
          | ~ c1_1(X44) )
      | hskp13 )
    & ( ( ~ c2_1(a1321)
        & ndr1_0
        & c0_1(a1321)
        & c3_1(a1321) )
      | ~ hskp10 )
    & ( ! [X45] :
          ( ~ c3_1(X45)
          | ~ ndr1_0
          | c0_1(X45)
          | ~ c1_1(X45) )
      | hskp4
      | ! [X46] :
          ( ~ ndr1_0
          | c0_1(X46)
          | ~ c2_1(X46)
          | c3_1(X46) ) )
    & ( ( ~ c0_1(a1359)
        & ndr1_0
        & ~ c1_1(a1359)
        & ~ c3_1(a1359) )
      | ~ hskp23 )
    & ( hskp28
      | ! [X47] :
          ( ~ c1_1(X47)
          | c3_1(X47)
          | c0_1(X47)
          | ~ ndr1_0 ) )
    & ( hskp14
      | ! [X48] :
          ( ~ c0_1(X48)
          | ~ c2_1(X48)
          | ~ ndr1_0
          | c3_1(X48) )
      | hskp12 )
    & ( ! [X49] :
          ( ~ ndr1_0
          | c3_1(X49)
          | ~ c1_1(X49)
          | c0_1(X49) )
      | hskp12
      | ! [X50] :
          ( ~ c2_1(X50)
          | ~ c1_1(X50)
          | ~ c0_1(X50)
          | ~ ndr1_0 ) )
    & ( ! [X51] :
          ( ~ ndr1_0
          | ~ c1_1(X51)
          | c3_1(X51)
          | c2_1(X51) )
      | hskp14
      | hskp16 )
    & ( ! [X52] :
          ( ~ c3_1(X52)
          | c2_1(X52)
          | c1_1(X52)
          | ~ ndr1_0 )
      | hskp1
      | hskp2 )
    & ( ~ hskp27
      | ( c0_1(a1307)
        & c1_1(a1307)
        & ndr1_0
        & c2_1(a1307) ) )
    & ( ( c0_1(a1372)
        & ndr1_0
        & c1_1(a1372)
        & c3_1(a1372) )
      | ~ hskp30 )
    & ( ( ~ c1_1(a1311)
        & c0_1(a1311)
        & ndr1_0
        & c2_1(a1311) )
      | ~ hskp3 )
    & ( ! [X53] :
          ( ~ c2_1(X53)
          | c0_1(X53)
          | c1_1(X53)
          | ~ ndr1_0 )
      | hskp5
      | hskp6 )
    & ( ! [X54] :
          ( ~ c0_1(X54)
          | ~ c2_1(X54)
          | ~ ndr1_0
          | c1_1(X54) )
      | hskp21
      | hskp0 )
    & ( ( c3_1(a1315)
        & ndr1_0
        & ~ c1_1(a1315)
        & ~ c0_1(a1315) )
      | ~ hskp6 )
    & ( hskp27
      | ! [X55] :
          ( ~ c0_1(X55)
          | ~ ndr1_0
          | ~ c1_1(X55)
          | c3_1(X55) )
      | ! [X56] :
          ( ~ c0_1(X56)
          | c3_1(X56)
          | ~ ndr1_0
          | c2_1(X56) ) )
    & ( ! [X57] :
          ( c2_1(X57)
          | c3_1(X57)
          | ~ ndr1_0
          | ~ c1_1(X57) )
      | hskp14
      | hskp13 )
    & ( hskp14
      | hskp27
      | hskp18 )
    & ( hskp15
      | hskp14
      | ! [X58] :
          ( c0_1(X58)
          | ~ ndr1_0
          | c3_1(X58)
          | ~ c2_1(X58) ) )
    & ( hskp2
      | hskp22
      | ! [X59] :
          ( ~ c3_1(X59)
          | c1_1(X59)
          | ~ c2_1(X59)
          | ~ ndr1_0 ) )
    & ( ~ hskp29
      | ( ndr1_0
        & c3_1(a1338)
        & c1_1(a1338)
        & c2_1(a1338) ) )
    & ( ! [X60] :
          ( ~ ndr1_0
          | c3_1(X60)
          | ~ c2_1(X60)
          | c1_1(X60) )
      | ! [X61] :
          ( c0_1(X61)
          | ~ ndr1_0
          | c3_1(X61)
          | c1_1(X61) )
      | ! [X62] :
          ( ~ ndr1_0
          | c1_1(X62)
          | c0_1(X62)
          | ~ c2_1(X62) ) )
    & ( ! [X63] :
          ( ~ ndr1_0
          | ~ c1_1(X63)
          | ~ c3_1(X63)
          | ~ c0_1(X63) )
      | hskp5
      | ! [X64] :
          ( ~ ndr1_0
          | c3_1(X64)
          | ~ c1_1(X64)
          | ~ c2_1(X64) ) )
    & ( hskp17
      | hskp4
      | ! [X65] :
          ( ~ ndr1_0
          | ~ c0_1(X65)
          | c3_1(X65)
          | c2_1(X65) ) )
    & ( hskp1
      | hskp28
      | hskp7 )
    & ( ( c0_1(a1324)
        & c3_1(a1324)
        & ndr1_0
        & ~ c1_1(a1324) )
      | ~ hskp11 )
    & ( ( ~ c2_1(a1352)
        & c3_1(a1352)
        & ~ c0_1(a1352)
        & ndr1_0 )
      | ~ hskp21 )
    & ( ( c3_1(a1328)
        & ndr1_0
        & c2_1(a1328)
        & c0_1(a1328) )
      | ~ hskp28 )
    & ( ! [X66] :
          ( ~ c3_1(X66)
          | ~ c0_1(X66)
          | ~ c1_1(X66)
          | ~ ndr1_0 )
      | hskp29
      | ! [X67] :
          ( ~ ndr1_0
          | ~ c1_1(X67)
          | ~ c2_1(X67)
          | ~ c3_1(X67) ) )
    & ( ( c0_1(a1330)
        & ~ c1_1(a1330)
        & ~ c2_1(a1330)
        & ndr1_0 )
      | ~ hskp14 )
    & ( ( ~ c1_1(a1326)
        & ~ c2_1(a1326)
        & ~ c3_1(a1326)
        & ndr1_0 )
      | ~ hskp13 )
    & ( hskp29
      | hskp18
      | ! [X68] :
          ( ~ c0_1(X68)
          | c1_1(X68)
          | ~ ndr1_0
          | c2_1(X68) ) )
    & ( hskp9
      | ! [X69] :
          ( c2_1(X69)
          | c0_1(X69)
          | ~ ndr1_0
          | ~ c1_1(X69) )
      | ! [X70] :
          ( c0_1(X70)
          | c3_1(X70)
          | ~ c1_1(X70)
          | ~ ndr1_0 ) )
    & ( hskp11
      | ! [X71] :
          ( ~ ndr1_0
          | ~ c3_1(X71)
          | ~ c2_1(X71)
          | ~ c1_1(X71) )
      | hskp22 )
    & ( hskp14
      | hskp9
      | hskp19 )
    & ( ~ hskp8
      | ( c2_1(a1319)
        & ~ c3_1(a1319)
        & ~ c0_1(a1319)
        & ndr1_0 ) )
    & ( hskp7
      | ! [X72] :
          ( c2_1(X72)
          | ~ c0_1(X72)
          | c1_1(X72)
          | ~ ndr1_0 )
      | hskp8 )
    & ( ( c0_1(a1344)
        & ndr1_0
        & c1_1(a1344)
        & ~ c2_1(a1344) )
      | ~ hskp19 )
    & ( hskp1
      | ! [X73] :
          ( c2_1(X73)
          | c0_1(X73)
          | c1_1(X73)
          | ~ ndr1_0 )
      | hskp2 )
    & ( ! [X74] :
          ( ~ c2_1(X74)
          | c3_1(X74)
          | ~ ndr1_0
          | c0_1(X74) )
      | ! [X75] :
          ( ~ c3_1(X75)
          | ~ c0_1(X75)
          | ~ ndr1_0
          | ~ c1_1(X75) )
      | ! [X76] :
          ( ~ c2_1(X76)
          | ~ c3_1(X76)
          | ~ ndr1_0
          | c0_1(X76) ) )
    & ( ! [X77] :
          ( c0_1(X77)
          | c2_1(X77)
          | c3_1(X77)
          | ~ ndr1_0 )
      | ! [X78] :
          ( c3_1(X78)
          | c0_1(X78)
          | ~ ndr1_0
          | ~ c2_1(X78) )
      | hskp6 )
    & ( ( ~ c3_1(a1370)
        & ndr1_0
        & c2_1(a1370)
        & ~ c1_1(a1370) )
      | ~ hskp24 )
    & ( ( ~ c3_1(a1411)
        & ndr1_0
        & c0_1(a1411)
        & ~ c2_1(a1411) )
      | ~ hskp26 )
    & ( ~ hskp15
      | ( ndr1_0
        & c1_1(a1331)
        & ~ c3_1(a1331)
        & c2_1(a1331) ) )
    & ( hskp20
      | ! [X79] :
          ( c2_1(X79)
          | ~ c1_1(X79)
          | ~ ndr1_0
          | c3_1(X79) )
      | ! [X80] :
          ( ~ c0_1(X80)
          | ~ ndr1_0
          | ~ c3_1(X80)
          | c1_1(X80) ) )
    & ( hskp1
      | hskp10
      | ! [X81] :
          ( c3_1(X81)
          | c1_1(X81)
          | ~ ndr1_0
          | ~ c2_1(X81) ) )
    & ( ! [X82] :
          ( ~ c0_1(X82)
          | ~ ndr1_0
          | ~ c3_1(X82)
          | c1_1(X82) )
      | ! [X83] :
          ( c2_1(X83)
          | c0_1(X83)
          | ~ c3_1(X83)
          | ~ ndr1_0 )
      | ! [X84] :
          ( c0_1(X84)
          | ~ ndr1_0
          | ~ c1_1(X84)
          | ~ c3_1(X84) ) )
    & ( ! [X85] :
          ( ~ c2_1(X85)
          | ~ c0_1(X85)
          | c1_1(X85)
          | ~ ndr1_0 )
      | ! [X86] :
          ( ~ c2_1(X86)
          | ~ ndr1_0
          | ~ c3_1(X86)
          | c0_1(X86) )
      | ! [X87] :
          ( ~ ndr1_0
          | c3_1(X87)
          | c0_1(X87)
          | ~ c2_1(X87) ) )
    & ( ! [X88] :
          ( c1_1(X88)
          | c0_1(X88)
          | ~ ndr1_0
          | c2_1(X88) )
      | ! [X89] :
          ( c2_1(X89)
          | ~ c3_1(X89)
          | ~ c1_1(X89)
          | ~ ndr1_0 )
      | ! [X90] :
          ( ~ ndr1_0
          | ~ c0_1(X90)
          | ~ c1_1(X90)
          | c3_1(X90) ) )
    & ( ! [X91] :
          ( ~ c1_1(X91)
          | c2_1(X91)
          | c0_1(X91)
          | ~ ndr1_0 )
      | hskp12
      | ! [X92] :
          ( ~ c1_1(X92)
          | ~ c3_1(X92)
          | ~ c2_1(X92)
          | ~ ndr1_0 ) )
    & ( hskp12
      | ! [X93] :
          ( ~ c2_1(X93)
          | ~ ndr1_0
          | c1_1(X93)
          | ~ c0_1(X93) )
      | hskp0 )
    & ( ! [X94] :
          ( ~ c2_1(X94)
          | ~ ndr1_0
          | ~ c0_1(X94)
          | c3_1(X94) )
      | hskp9
      | hskp6 )
    & ( ! [X95] :
          ( ~ ndr1_0
          | c0_1(X95)
          | c2_1(X95)
          | c1_1(X95) )
      | ! [X96] :
          ( ~ ndr1_0
          | ~ c2_1(X96)
          | c1_1(X96)
          | c3_1(X96) )
      | hskp0 )
    & ( ! [X97] :
          ( c3_1(X97)
          | c2_1(X97)
          | ~ ndr1_0
          | ~ c1_1(X97) )
      | ! [X98] :
          ( ~ c3_1(X98)
          | c0_1(X98)
          | ~ ndr1_0
          | c2_1(X98) )
      | ! [X99] :
          ( c2_1(X99)
          | ~ ndr1_0
          | c0_1(X99)
          | ~ c1_1(X99) ) )
    & ( hskp1
      | hskp4
      | hskp14 )
    & ( hskp18
      | hskp5
      | hskp16 )
    & ( ! [X100] :
          ( c2_1(X100)
          | ~ ndr1_0
          | ~ c3_1(X100)
          | c1_1(X100) )
      | ! [X101] :
          ( ~ c0_1(X101)
          | c3_1(X101)
          | ~ c1_1(X101)
          | ~ ndr1_0 )
      | ! [X102] :
          ( ~ c2_1(X102)
          | c3_1(X102)
          | ~ ndr1_0
          | ~ c1_1(X102) ) ) ),
    inference(rectify,[],[f6]) ).

fof(f6,plain,
    ( ( hskp0
      | ! [X36] :
          ( ~ ndr1_0
          | ~ c1_1(X36)
          | ~ c0_1(X36)
          | c2_1(X36) )
      | ! [X37] :
          ( ~ ndr1_0
          | ~ c3_1(X37)
          | c0_1(X37)
          | ~ c2_1(X37) ) )
    & ( ! [X92] :
          ( c2_1(X92)
          | c0_1(X92)
          | c3_1(X92)
          | ~ ndr1_0 )
      | hskp6
      | ! [X93] :
          ( ~ ndr1_0
          | ~ c2_1(X93)
          | c0_1(X93)
          | ~ c1_1(X93) ) )
    & ( ( c1_1(a1314)
        & ~ c3_1(a1314)
        & ndr1_0
        & ~ c0_1(a1314) )
      | ~ hskp5 )
    & ( hskp16
      | ! [X52] :
          ( ~ c2_1(X52)
          | c0_1(X52)
          | ~ c1_1(X52)
          | ~ ndr1_0 )
      | hskp17 )
    & ( hskp18
      | hskp20 )
    & ( ( ndr1_0
        & c2_1(a1334)
        & ~ c0_1(a1334)
        & c3_1(a1334) )
      | ~ hskp17 )
    & ( hskp26
      | hskp12 )
    & ( ! [X95] :
          ( c1_1(X95)
          | ~ ndr1_0
          | ~ c0_1(X95)
          | c3_1(X95) )
      | hskp19
      | hskp15 )
    & ( hskp11
      | ! [X27] :
          ( ~ c0_1(X27)
          | ~ ndr1_0
          | ~ c2_1(X27)
          | c3_1(X27) )
      | ! [X28] :
          ( ~ c1_1(X28)
          | ~ c0_1(X28)
          | ~ ndr1_0
          | ~ c3_1(X28) ) )
    & ( hskp25
      | hskp28
      | hskp14 )
    & ( ! [X62] :
          ( ~ ndr1_0
          | ~ c2_1(X62)
          | c3_1(X62)
          | ~ c0_1(X62) )
      | hskp14
      | ! [X63] :
          ( ~ ndr1_0
          | c1_1(X63)
          | c2_1(X63)
          | ~ c0_1(X63) ) )
    & ( ! [X66] :
          ( ~ ndr1_0
          | ~ c2_1(X66)
          | c1_1(X66)
          | ~ c3_1(X66) )
      | hskp27
      | ! [X67] :
          ( ~ c0_1(X67)
          | ~ ndr1_0
          | c2_1(X67)
          | ~ c3_1(X67) ) )
    & ( hskp28
      | ! [X19] :
          ( ~ ndr1_0
          | c0_1(X19)
          | ~ c2_1(X19)
          | ~ c1_1(X19) )
      | ! [X18] :
          ( ~ ndr1_0
          | ~ c3_1(X18)
          | c2_1(X18)
          | ~ c0_1(X18) ) )
    & ( hskp20
      | hskp2
      | ! [X31] :
          ( ~ c0_1(X31)
          | ~ ndr1_0
          | ~ c2_1(X31)
          | c1_1(X31) ) )
    & ( ! [X6] :
          ( ~ c0_1(X6)
          | c3_1(X6)
          | ~ ndr1_0
          | ~ c1_1(X6) )
      | hskp20
      | hskp24 )
    & ( ! [X75] :
          ( c2_1(X75)
          | ~ ndr1_0
          | c0_1(X75)
          | c3_1(X75) )
      | ! [X74] :
          ( ~ ndr1_0
          | c0_1(X74)
          | ~ c2_1(X74)
          | ~ c3_1(X74) )
      | hskp8 )
    & ( ~ hskp22
      | ( c0_1(a1356)
        & ~ c3_1(a1356)
        & ndr1_0
        & c1_1(a1356) ) )
    & ( hskp10
      | hskp6
      | ! [X81] :
          ( c2_1(X81)
          | ~ ndr1_0
          | c3_1(X81)
          | c0_1(X81) ) )
    & ( hskp30
      | ! [X102] :
          ( ~ c2_1(X102)
          | ~ ndr1_0
          | ~ c0_1(X102)
          | c3_1(X102) )
      | hskp18 )
    & ( hskp4
      | hskp2
      | ! [X10] :
          ( ~ c2_1(X10)
          | c1_1(X10)
          | ~ ndr1_0
          | c0_1(X10) ) )
    & ( ~ hskp0
      | ( ~ c1_1(a1306)
        & ~ c2_1(a1306)
        & ~ c0_1(a1306)
        & ndr1_0 ) )
    & ( ( ~ c0_1(a1348)
        & c1_1(a1348)
        & ndr1_0
        & c2_1(a1348) )
      | ~ hskp20 )
    & ( ! [X22] :
          ( c3_1(X22)
          | ~ ndr1_0
          | ~ c1_1(X22)
          | ~ c0_1(X22) )
      | hskp8
      | hskp14 )
    & ( hskp7
      | hskp21
      | hskp3 )
    & ( ( ~ c3_1(a1333)
        & ~ c2_1(a1333)
        & ndr1_0
        & c1_1(a1333) )
      | ~ hskp16 )
    & ( ! [X46] :
          ( c2_1(X46)
          | ~ c3_1(X46)
          | ~ ndr1_0
          | c0_1(X46) )
      | hskp13
      | ! [X45] :
          ( ~ ndr1_0
          | ~ c0_1(X45)
          | c1_1(X45)
          | c3_1(X45) ) )
    & ( ! [X72] :
          ( ~ ndr1_0
          | ~ c1_1(X72)
          | ~ c3_1(X72)
          | ~ c2_1(X72) )
      | ! [X73] :
          ( ~ ndr1_0
          | ~ c2_1(X73)
          | ~ c0_1(X73)
          | ~ c1_1(X73) )
      | hskp17 )
    & ( hskp3
      | ! [X88] :
          ( ~ c3_1(X88)
          | ~ ndr1_0
          | c2_1(X88)
          | ~ c0_1(X88) )
      | ! [X89] :
          ( c0_1(X89)
          | c3_1(X89)
          | c1_1(X89)
          | ~ ndr1_0 ) )
    & ( hskp7
      | ! [X35] :
          ( c2_1(X35)
          | ~ ndr1_0
          | ~ c3_1(X35)
          | ~ c1_1(X35) )
      | ! [X34] :
          ( c1_1(X34)
          | c0_1(X34)
          | ~ ndr1_0
          | ~ c3_1(X34) ) )
    & ( hskp1
      | hskp23
      | hskp2 )
    & ( hskp20
      | hskp6
      | hskp25 )
    & ( ~ hskp4
      | ( ~ c3_1(a1312)
        & ndr1_0
        & c0_1(a1312)
        & ~ c1_1(a1312) ) )
    & ( ( ~ c2_1(a1316)
        & ~ c3_1(a1316)
        & ndr1_0
        & ~ c0_1(a1316) )
      | ~ hskp7 )
    & ( hskp29
      | hskp26
      | hskp20 )
    & ( ! [X41] :
          ( c3_1(X41)
          | ~ c0_1(X41)
          | ~ c1_1(X41)
          | ~ ndr1_0 )
      | ! [X39] :
          ( ~ ndr1_0
          | c3_1(X39)
          | c1_1(X39)
          | c2_1(X39) )
      | ! [X40] :
          ( ~ ndr1_0
          | ~ c1_1(X40)
          | c0_1(X40)
          | c3_1(X40) ) )
    & ( ( c0_1(a1394)
        & ~ c3_1(a1394)
        & ndr1_0
        & c2_1(a1394) )
      | ~ hskp25 )
    & ( ( ndr1_0
        & c1_1(a1339)
        & ~ c2_1(a1339)
        & ~ c0_1(a1339) )
      | ~ hskp18 )
    & ( hskp11
      | ! [X30] :
          ( c2_1(X30)
          | c0_1(X30)
          | ~ c1_1(X30)
          | ~ ndr1_0 )
      | ! [X29] :
          ( c1_1(X29)
          | ~ ndr1_0
          | c2_1(X29)
          | c3_1(X29) ) )
    & ( ! [X85] :
          ( ~ ndr1_0
          | ~ c2_1(X85)
          | ~ c0_1(X85)
          | c1_1(X85) )
      | ! [X84] :
          ( c3_1(X84)
          | c2_1(X84)
          | ~ c0_1(X84)
          | ~ ndr1_0 )
      | ! [X86] :
          ( c1_1(X86)
          | ~ c3_1(X86)
          | ~ ndr1_0
          | c2_1(X86) ) )
    & ( hskp7
      | hskp4
      | hskp3 )
    & ( ( c3_1(a1309)
        & ~ c2_1(a1309)
        & ndr1_0
        & c1_1(a1309) )
      | ~ hskp2 )
    & ( hskp23
      | hskp0
      | hskp6 )
    & ( ( ndr1_0
        & c3_1(a1325)
        & ~ c0_1(a1325)
        & c1_1(a1325) )
      | ~ hskp12 )
    & ( hskp27
      | hskp1
      | ! [X26] :
          ( c0_1(X26)
          | c2_1(X26)
          | c1_1(X26)
          | ~ ndr1_0 ) )
    & ( hskp15
      | ! [X32] :
          ( ~ c0_1(X32)
          | c3_1(X32)
          | c1_1(X32)
          | ~ ndr1_0 )
      | ! [X33] :
          ( c2_1(X33)
          | ~ ndr1_0
          | ~ c0_1(X33)
          | c1_1(X33) ) )
    & ( ( ndr1_0
        & ~ c1_1(a1308)
        & c3_1(a1308)
        & ~ c2_1(a1308) )
      | ~ hskp1 )
    & ( ! [X5] :
          ( ~ ndr1_0
          | ~ c3_1(X5)
          | ~ c2_1(X5)
          | c1_1(X5) )
      | hskp22
      | hskp23 )
    & ( ! [X21] :
          ( c3_1(X21)
          | c0_1(X21)
          | c2_1(X21)
          | ~ ndr1_0 )
      | ! [X20] :
          ( c3_1(X20)
          | ~ ndr1_0
          | c2_1(X20)
          | ~ c0_1(X20) )
      | hskp9 )
    & ( hskp9
      | hskp2
      | hskp30 )
    & ( ( c2_1(a1320)
        & ~ c1_1(a1320)
        & ~ c0_1(a1320)
        & ndr1_0 )
      | ~ hskp9 )
    & ( ! [X98] :
          ( ~ c2_1(X98)
          | ~ ndr1_0
          | ~ c0_1(X98)
          | ~ c1_1(X98) )
      | hskp13 )
    & ( ( ~ c2_1(a1321)
        & ndr1_0
        & c0_1(a1321)
        & c3_1(a1321) )
      | ~ hskp10 )
    & ( ! [X57] :
          ( ~ c3_1(X57)
          | ~ ndr1_0
          | c0_1(X57)
          | ~ c1_1(X57) )
      | hskp4
      | ! [X56] :
          ( ~ ndr1_0
          | c0_1(X56)
          | ~ c2_1(X56)
          | c3_1(X56) ) )
    & ( ( ~ c0_1(a1359)
        & ndr1_0
        & ~ c1_1(a1359)
        & ~ c3_1(a1359) )
      | ~ hskp23 )
    & ( hskp28
      | ! [X65] :
          ( ~ c1_1(X65)
          | c3_1(X65)
          | c0_1(X65)
          | ~ ndr1_0 ) )
    & ( hskp14
      | ! [X87] :
          ( ~ c0_1(X87)
          | ~ c2_1(X87)
          | ~ ndr1_0
          | c3_1(X87) )
      | hskp12 )
    & ( ! [X11] :
          ( ~ ndr1_0
          | c3_1(X11)
          | ~ c1_1(X11)
          | c0_1(X11) )
      | hskp12
      | ! [X12] :
          ( ~ c2_1(X12)
          | ~ c1_1(X12)
          | ~ c0_1(X12)
          | ~ ndr1_0 ) )
    & ( ! [X79] :
          ( ~ ndr1_0
          | ~ c1_1(X79)
          | c3_1(X79)
          | c2_1(X79) )
      | hskp14
      | hskp16 )
    & ( ! [X97] :
          ( ~ c3_1(X97)
          | c2_1(X97)
          | c1_1(X97)
          | ~ ndr1_0 )
      | hskp1
      | hskp2 )
    & ( ~ hskp27
      | ( c0_1(a1307)
        & c1_1(a1307)
        & ndr1_0
        & c2_1(a1307) ) )
    & ( ( c0_1(a1372)
        & ndr1_0
        & c1_1(a1372)
        & c3_1(a1372) )
      | ~ hskp30 )
    & ( ( ~ c1_1(a1311)
        & c0_1(a1311)
        & ndr1_0
        & c2_1(a1311) )
      | ~ hskp3 )
    & ( ! [X96] :
          ( ~ c2_1(X96)
          | c0_1(X96)
          | c1_1(X96)
          | ~ ndr1_0 )
      | hskp5
      | hskp6 )
    & ( ! [X78] :
          ( ~ c0_1(X78)
          | ~ c2_1(X78)
          | ~ ndr1_0
          | c1_1(X78) )
      | hskp21
      | hskp0 )
    & ( ( c3_1(a1315)
        & ndr1_0
        & ~ c1_1(a1315)
        & ~ c0_1(a1315) )
      | ~ hskp6 )
    & ( hskp27
      | ! [X70] :
          ( ~ c0_1(X70)
          | ~ ndr1_0
          | ~ c1_1(X70)
          | c3_1(X70) )
      | ! [X69] :
          ( ~ c0_1(X69)
          | c3_1(X69)
          | ~ ndr1_0
          | c2_1(X69) ) )
    & ( ! [X13] :
          ( c2_1(X13)
          | c3_1(X13)
          | ~ ndr1_0
          | ~ c1_1(X13) )
      | hskp14
      | hskp13 )
    & ( hskp14
      | hskp27
      | hskp18 )
    & ( hskp15
      | hskp14
      | ! [X76] :
          ( c0_1(X76)
          | ~ ndr1_0
          | c3_1(X76)
          | ~ c2_1(X76) ) )
    & ( hskp2
      | hskp22
      | ! [X2] :
          ( ~ c3_1(X2)
          | c1_1(X2)
          | ~ c2_1(X2)
          | ~ ndr1_0 ) )
    & ( ~ hskp29
      | ( ndr1_0
        & c3_1(a1338)
        & c1_1(a1338)
        & c2_1(a1338) ) )
    & ( ! [X101] :
          ( ~ ndr1_0
          | c3_1(X101)
          | ~ c2_1(X101)
          | c1_1(X101) )
      | ! [X100] :
          ( c0_1(X100)
          | ~ ndr1_0
          | c3_1(X100)
          | c1_1(X100) )
      | ! [X99] :
          ( ~ ndr1_0
          | c1_1(X99)
          | c0_1(X99)
          | ~ c2_1(X99) ) )
    & ( ! [X3] :
          ( ~ ndr1_0
          | ~ c1_1(X3)
          | ~ c3_1(X3)
          | ~ c0_1(X3) )
      | hskp5
      | ! [X4] :
          ( ~ ndr1_0
          | c3_1(X4)
          | ~ c1_1(X4)
          | ~ c2_1(X4) ) )
    & ( hskp17
      | hskp4
      | ! [X64] :
          ( ~ ndr1_0
          | ~ c0_1(X64)
          | c3_1(X64)
          | c2_1(X64) ) )
    & ( hskp1
      | hskp28
      | hskp7 )
    & ( ( c0_1(a1324)
        & c3_1(a1324)
        & ndr1_0
        & ~ c1_1(a1324) )
      | ~ hskp11 )
    & ( ( ~ c2_1(a1352)
        & c3_1(a1352)
        & ~ c0_1(a1352)
        & ndr1_0 )
      | ~ hskp21 )
    & ( ( c3_1(a1328)
        & ndr1_0
        & c2_1(a1328)
        & c0_1(a1328) )
      | ~ hskp28 )
    & ( ! [X14] :
          ( ~ c3_1(X14)
          | ~ c0_1(X14)
          | ~ c1_1(X14)
          | ~ ndr1_0 )
      | hskp29
      | ! [X15] :
          ( ~ ndr1_0
          | ~ c1_1(X15)
          | ~ c2_1(X15)
          | ~ c3_1(X15) ) )
    & ( ( c0_1(a1330)
        & ~ c1_1(a1330)
        & ~ c2_1(a1330)
        & ndr1_0 )
      | ~ hskp14 )
    & ( ( ~ c1_1(a1326)
        & ~ c2_1(a1326)
        & ~ c3_1(a1326)
        & ndr1_0 )
      | ~ hskp13 )
    & ( hskp29
      | hskp18
      | ! [X71] :
          ( ~ c0_1(X71)
          | c1_1(X71)
          | ~ ndr1_0
          | c2_1(X71) ) )
    & ( hskp9
      | ! [X48] :
          ( c2_1(X48)
          | c0_1(X48)
          | ~ ndr1_0
          | ~ c1_1(X48) )
      | ! [X47] :
          ( c0_1(X47)
          | c3_1(X47)
          | ~ c1_1(X47)
          | ~ ndr1_0 ) )
    & ( hskp11
      | ! [X94] :
          ( ~ ndr1_0
          | ~ c3_1(X94)
          | ~ c2_1(X94)
          | ~ c1_1(X94) )
      | hskp22 )
    & ( hskp14
      | hskp9
      | hskp19 )
    & ( ~ hskp8
      | ( c2_1(a1319)
        & ~ c3_1(a1319)
        & ~ c0_1(a1319)
        & ndr1_0 ) )
    & ( hskp7
      | ! [X77] :
          ( c2_1(X77)
          | ~ c0_1(X77)
          | c1_1(X77)
          | ~ ndr1_0 )
      | hskp8 )
    & ( ( c0_1(a1344)
        & ndr1_0
        & c1_1(a1344)
        & ~ c2_1(a1344) )
      | ~ hskp19 )
    & ( hskp1
      | ! [X80] :
          ( c2_1(X80)
          | c0_1(X80)
          | c1_1(X80)
          | ~ ndr1_0 )
      | hskp2 )
    & ( ! [X53] :
          ( ~ c2_1(X53)
          | c3_1(X53)
          | ~ ndr1_0
          | c0_1(X53) )
      | ! [X54] :
          ( ~ c3_1(X54)
          | ~ c0_1(X54)
          | ~ ndr1_0
          | ~ c1_1(X54) )
      | ! [X55] :
          ( ~ c2_1(X55)
          | ~ c3_1(X55)
          | ~ ndr1_0
          | c0_1(X55) ) )
    & ( ! [X0] :
          ( c0_1(X0)
          | c2_1(X0)
          | c3_1(X0)
          | ~ ndr1_0 )
      | ! [X1] :
          ( c3_1(X1)
          | c0_1(X1)
          | ~ ndr1_0
          | ~ c2_1(X1) )
      | hskp6 )
    & ( ( ~ c3_1(a1370)
        & ndr1_0
        & c2_1(a1370)
        & ~ c1_1(a1370) )
      | ~ hskp24 )
    & ( ( ~ c3_1(a1411)
        & ndr1_0
        & c0_1(a1411)
        & ~ c2_1(a1411) )
      | ~ hskp26 )
    & ( ~ hskp15
      | ( ndr1_0
        & c1_1(a1331)
        & ~ c3_1(a1331)
        & c2_1(a1331) ) )
    & ( hskp20
      | ! [X83] :
          ( c2_1(X83)
          | ~ c1_1(X83)
          | ~ ndr1_0
          | c3_1(X83) )
      | ! [X82] :
          ( ~ c0_1(X82)
          | ~ ndr1_0
          | ~ c3_1(X82)
          | c1_1(X82) ) )
    & ( hskp1
      | hskp10
      | ! [X58] :
          ( c3_1(X58)
          | c1_1(X58)
          | ~ ndr1_0
          | ~ c2_1(X58) ) )
    & ( ! [X50] :
          ( ~ c0_1(X50)
          | ~ ndr1_0
          | ~ c3_1(X50)
          | c1_1(X50) )
      | ! [X51] :
          ( c2_1(X51)
          | c0_1(X51)
          | ~ c3_1(X51)
          | ~ ndr1_0 )
      | ! [X49] :
          ( c0_1(X49)
          | ~ ndr1_0
          | ~ c1_1(X49)
          | ~ c3_1(X49) ) )
    & ( ! [X8] :
          ( ~ c2_1(X8)
          | ~ c0_1(X8)
          | c1_1(X8)
          | ~ ndr1_0 )
      | ! [X9] :
          ( ~ c2_1(X9)
          | ~ ndr1_0
          | ~ c3_1(X9)
          | c0_1(X9) )
      | ! [X7] :
          ( ~ ndr1_0
          | c3_1(X7)
          | c0_1(X7)
          | ~ c2_1(X7) ) )
    & ( ! [X43] :
          ( c1_1(X43)
          | c0_1(X43)
          | ~ ndr1_0
          | c2_1(X43) )
      | ! [X44] :
          ( c2_1(X44)
          | ~ c3_1(X44)
          | ~ c1_1(X44)
          | ~ ndr1_0 )
      | ! [X42] :
          ( ~ ndr1_0
          | ~ c0_1(X42)
          | ~ c1_1(X42)
          | c3_1(X42) ) )
    & ( ! [X16] :
          ( ~ c1_1(X16)
          | c2_1(X16)
          | c0_1(X16)
          | ~ ndr1_0 )
      | hskp12
      | ! [X17] :
          ( ~ c1_1(X17)
          | ~ c3_1(X17)
          | ~ c2_1(X17)
          | ~ ndr1_0 ) )
    & ( hskp12
      | ! [X38] :
          ( ~ c2_1(X38)
          | ~ ndr1_0
          | c1_1(X38)
          | ~ c0_1(X38) )
      | hskp0 )
    & ( ! [X68] :
          ( ~ c2_1(X68)
          | ~ ndr1_0
          | ~ c0_1(X68)
          | c3_1(X68) )
      | hskp9
      | hskp6 )
    & ( ! [X91] :
          ( ~ ndr1_0
          | c0_1(X91)
          | c2_1(X91)
          | c1_1(X91) )
      | ! [X90] :
          ( ~ ndr1_0
          | ~ c2_1(X90)
          | c1_1(X90)
          | c3_1(X90) )
      | hskp0 )
    & ( ! [X25] :
          ( c3_1(X25)
          | c2_1(X25)
          | ~ ndr1_0
          | ~ c1_1(X25) )
      | ! [X24] :
          ( ~ c3_1(X24)
          | c0_1(X24)
          | ~ ndr1_0
          | c2_1(X24) )
      | ! [X23] :
          ( c2_1(X23)
          | ~ ndr1_0
          | c0_1(X23)
          | ~ c1_1(X23) ) )
    & ( hskp1
      | hskp4
      | hskp14 )
    & ( hskp18
      | hskp5
      | hskp16 )
    & ( ! [X61] :
          ( c2_1(X61)
          | ~ ndr1_0
          | ~ c3_1(X61)
          | c1_1(X61) )
      | ! [X60] :
          ( ~ c0_1(X60)
          | c3_1(X60)
          | ~ c1_1(X60)
          | ~ ndr1_0 )
      | ! [X59] :
          ( ~ c2_1(X59)
          | c3_1(X59)
          | ~ ndr1_0
          | ~ c1_1(X59) ) ) ),
    inference(flattening,[],[f5]) ).

fof(f5,plain,
    ( ( hskp16
      | ! [X52] :
          ( c0_1(X52)
          | ~ c1_1(X52)
          | ~ c2_1(X52)
          | ~ ndr1_0 )
      | hskp17 )
    & ( ! [X50] :
          ( c1_1(X50)
          | ~ c0_1(X50)
          | ~ c3_1(X50)
          | ~ ndr1_0 )
      | ! [X49] :
          ( c0_1(X49)
          | ~ c1_1(X49)
          | ~ c3_1(X49)
          | ~ ndr1_0 )
      | ! [X51] :
          ( c0_1(X51)
          | ~ c3_1(X51)
          | c2_1(X51)
          | ~ ndr1_0 ) )
    & ( hskp8
      | hskp7
      | ! [X77] :
          ( c1_1(X77)
          | ~ c0_1(X77)
          | c2_1(X77)
          | ~ ndr1_0 ) )
    & ( ! [X18] :
          ( ~ c0_1(X18)
          | c2_1(X18)
          | ~ c3_1(X18)
          | ~ ndr1_0 )
      | hskp28
      | ! [X19] :
          ( c0_1(X19)
          | ~ c1_1(X19)
          | ~ c2_1(X19)
          | ~ ndr1_0 ) )
    & ( ! [X9] :
          ( ~ c3_1(X9)
          | c0_1(X9)
          | ~ c2_1(X9)
          | ~ ndr1_0 )
      | ! [X8] :
          ( ~ c2_1(X8)
          | ~ c0_1(X8)
          | c1_1(X8)
          | ~ ndr1_0 )
      | ! [X7] :
          ( ~ c2_1(X7)
          | c3_1(X7)
          | c0_1(X7)
          | ~ ndr1_0 ) )
    & ( hskp12
      | hskp14
      | ! [X87] :
          ( ~ c0_1(X87)
          | c3_1(X87)
          | ~ c2_1(X87)
          | ~ ndr1_0 ) )
    & ( ! [X96] :
          ( c1_1(X96)
          | c0_1(X96)
          | ~ c2_1(X96)
          | ~ ndr1_0 )
      | hskp5
      | hskp6 )
    & ( ( ~ c0_1(a1359)
        & ndr1_0
        & ~ c1_1(a1359)
        & ~ c3_1(a1359) )
      | ~ hskp23 )
    & ( ! [X0] :
          ( c3_1(X0)
          | c2_1(X0)
          | c0_1(X0)
          | ~ ndr1_0 )
      | hskp6
      | ! [X1] :
          ( c0_1(X1)
          | ~ c2_1(X1)
          | c3_1(X1)
          | ~ ndr1_0 ) )
    & ( ( ~ c2_1(a1321)
        & ndr1_0
        & c0_1(a1321)
        & c3_1(a1321) )
      | ~ hskp10 )
    & ( ! [X72] :
          ( ~ c3_1(X72)
          | ~ c2_1(X72)
          | ~ c1_1(X72)
          | ~ ndr1_0 )
      | hskp17
      | ! [X73] :
          ( ~ c0_1(X73)
          | ~ c2_1(X73)
          | ~ c1_1(X73)
          | ~ ndr1_0 ) )
    & ( ( c3_1(a1328)
        & ndr1_0
        & c2_1(a1328)
        & c0_1(a1328) )
      | ~ hskp28 )
    & ( ( ~ c3_1(a1411)
        & ndr1_0
        & c0_1(a1411)
        & ~ c2_1(a1411) )
      | ~ hskp26 )
    & ( hskp22
      | ! [X5] :
          ( ~ c2_1(X5)
          | c1_1(X5)
          | ~ c3_1(X5)
          | ~ ndr1_0 )
      | hskp23 )
    & ( ! [X23] :
          ( c0_1(X23)
          | c2_1(X23)
          | ~ c1_1(X23)
          | ~ ndr1_0 )
      | ! [X24] :
          ( c0_1(X24)
          | c2_1(X24)
          | ~ c3_1(X24)
          | ~ ndr1_0 )
      | ! [X25] :
          ( c2_1(X25)
          | ~ c1_1(X25)
          | c3_1(X25)
          | ~ ndr1_0 ) )
    & ( ! [X76] :
          ( c3_1(X76)
          | ~ c2_1(X76)
          | c0_1(X76)
          | ~ ndr1_0 )
      | hskp14
      | hskp15 )
    & ( hskp3
      | ! [X89] :
          ( c3_1(X89)
          | c0_1(X89)
          | c1_1(X89)
          | ~ ndr1_0 )
      | ! [X88] :
          ( c2_1(X88)
          | ~ c0_1(X88)
          | ~ c3_1(X88)
          | ~ ndr1_0 ) )
    & ( hskp20
      | hskp6
      | hskp25 )
    & ( ! [X32] :
          ( c1_1(X32)
          | c3_1(X32)
          | ~ c0_1(X32)
          | ~ ndr1_0 )
      | ! [X33] :
          ( c2_1(X33)
          | ~ c0_1(X33)
          | c1_1(X33)
          | ~ ndr1_0 )
      | hskp15 )
    & ( ! [X86] :
          ( c2_1(X86)
          | c1_1(X86)
          | ~ c3_1(X86)
          | ~ ndr1_0 )
      | ! [X85] :
          ( c1_1(X85)
          | ~ c2_1(X85)
          | ~ c0_1(X85)
          | ~ ndr1_0 )
      | ! [X84] :
          ( c3_1(X84)
          | c2_1(X84)
          | ~ c0_1(X84)
          | ~ ndr1_0 ) )
    & ( ( ~ c2_1(a1352)
        & c3_1(a1352)
        & ~ c0_1(a1352)
        & ndr1_0 )
      | ~ hskp21 )
    & ( ( c3_1(a1309)
        & ~ c2_1(a1309)
        & ndr1_0
        & c1_1(a1309) )
      | ~ hskp2 )
    & ( hskp14
      | hskp9
      | hskp19 )
    & ( hskp16
      | ! [X79] :
          ( c3_1(X79)
          | c2_1(X79)
          | ~ c1_1(X79)
          | ~ ndr1_0 )
      | hskp14 )
    & ( hskp1
      | ! [X80] :
          ( c0_1(X80)
          | c2_1(X80)
          | c1_1(X80)
          | ~ ndr1_0 )
      | hskp2 )
    & ( hskp8
      | ! [X22] :
          ( ~ c1_1(X22)
          | c3_1(X22)
          | ~ c0_1(X22)
          | ~ ndr1_0 )
      | hskp14 )
    & ( hskp1
      | hskp28
      | hskp7 )
    & ( ~ hskp29
      | ( ndr1_0
        & c3_1(a1338)
        & c1_1(a1338)
        & c2_1(a1338) ) )
    & ( hskp12
      | hskp0
      | ! [X38] :
          ( c1_1(X38)
          | ~ c0_1(X38)
          | ~ c2_1(X38)
          | ~ ndr1_0 ) )
    & ( ( c0_1(a1330)
        & ~ c1_1(a1330)
        & ~ c2_1(a1330)
        & ndr1_0 )
      | ~ hskp14 )
    & ( hskp0
      | ! [X78] :
          ( ~ c0_1(X78)
          | c1_1(X78)
          | ~ c2_1(X78)
          | ~ ndr1_0 )
      | hskp21 )
    & ( hskp15
      | hskp19
      | ! [X95] :
          ( c1_1(X95)
          | ~ c0_1(X95)
          | c3_1(X95)
          | ~ ndr1_0 ) )
    & ( hskp14
      | hskp27
      | hskp18 )
    & ( ! [X91] :
          ( c1_1(X91)
          | c2_1(X91)
          | c0_1(X91)
          | ~ ndr1_0 )
      | ! [X90] :
          ( ~ c2_1(X90)
          | c1_1(X90)
          | c3_1(X90)
          | ~ ndr1_0 )
      | hskp0 )
    & ( hskp13
      | ! [X98] :
          ( ~ c0_1(X98)
          | ~ c1_1(X98)
          | ~ c2_1(X98)
          | ~ ndr1_0 ) )
    & ( hskp8
      | ! [X74] :
          ( ~ c2_1(X74)
          | c0_1(X74)
          | ~ c3_1(X74)
          | ~ ndr1_0 )
      | ! [X75] :
          ( c2_1(X75)
          | c3_1(X75)
          | c0_1(X75)
          | ~ ndr1_0 ) )
    & ( hskp24
      | hskp20
      | ! [X6] :
          ( ~ c1_1(X6)
          | c3_1(X6)
          | ~ c0_1(X6)
          | ~ ndr1_0 ) )
    & ( ! [X31] :
          ( ~ c0_1(X31)
          | c1_1(X31)
          | ~ c2_1(X31)
          | ~ ndr1_0 )
      | hskp20
      | hskp2 )
    & ( ( ndr1_0
        & ~ c1_1(a1308)
        & c3_1(a1308)
        & ~ c2_1(a1308) )
      | ~ hskp1 )
    & ( ! [X99] :
          ( ~ c2_1(X99)
          | c0_1(X99)
          | c1_1(X99)
          | ~ ndr1_0 )
      | ! [X100] :
          ( c0_1(X100)
          | c1_1(X100)
          | c3_1(X100)
          | ~ ndr1_0 )
      | ! [X101] :
          ( c1_1(X101)
          | ~ c2_1(X101)
          | c3_1(X101)
          | ~ ndr1_0 ) )
    & ( hskp7
      | hskp21
      | hskp3 )
    & ( hskp5
      | ! [X3] :
          ( ~ c1_1(X3)
          | ~ c3_1(X3)
          | ~ c0_1(X3)
          | ~ ndr1_0 )
      | ! [X4] :
          ( c3_1(X4)
          | ~ c2_1(X4)
          | ~ c1_1(X4)
          | ~ ndr1_0 ) )
    & ( ! [X54] :
          ( ~ c3_1(X54)
          | ~ c0_1(X54)
          | ~ c1_1(X54)
          | ~ ndr1_0 )
      | ! [X53] :
          ( c3_1(X53)
          | ~ c2_1(X53)
          | c0_1(X53)
          | ~ ndr1_0 )
      | ! [X55] :
          ( ~ c3_1(X55)
          | c0_1(X55)
          | ~ c2_1(X55)
          | ~ ndr1_0 ) )
    & ( ( c1_1(a1314)
        & ~ c3_1(a1314)
        & ndr1_0
        & ~ c0_1(a1314) )
      | ~ hskp5 )
    & ( hskp26
      | hskp12 )
    & ( ~ hskp22
      | ( c0_1(a1356)
        & ~ c3_1(a1356)
        & ndr1_0
        & c1_1(a1356) ) )
    & ( ( ~ c3_1(a1370)
        & ndr1_0
        & c2_1(a1370)
        & ~ c1_1(a1370) )
      | ~ hskp24 )
    & ( hskp18
      | ! [X71] :
          ( c2_1(X71)
          | c1_1(X71)
          | ~ c0_1(X71)
          | ~ ndr1_0 )
      | hskp29 )
    & ( ( c3_1(a1315)
        & ndr1_0
        & ~ c1_1(a1315)
        & ~ c0_1(a1315) )
      | ~ hskp6 )
    & ( ! [X16] :
          ( c0_1(X16)
          | ~ c1_1(X16)
          | c2_1(X16)
          | ~ ndr1_0 )
      | hskp12
      | ! [X17] :
          ( ~ c2_1(X17)
          | ~ c1_1(X17)
          | ~ c3_1(X17)
          | ~ ndr1_0 ) )
    & ( ( ~ c3_1(a1333)
        & ~ c2_1(a1333)
        & ndr1_0
        & c1_1(a1333) )
      | ~ hskp16 )
    & ( ( c2_1(a1320)
        & ~ c1_1(a1320)
        & ~ c0_1(a1320)
        & ndr1_0 )
      | ~ hskp9 )
    & ( ! [X63] :
          ( c1_1(X63)
          | c2_1(X63)
          | ~ c0_1(X63)
          | ~ ndr1_0 )
      | ! [X62] :
          ( ~ c0_1(X62)
          | ~ c2_1(X62)
          | c3_1(X62)
          | ~ ndr1_0 )
      | hskp14 )
    & ( hskp4
      | hskp2
      | ! [X10] :
          ( ~ c2_1(X10)
          | c0_1(X10)
          | c1_1(X10)
          | ~ ndr1_0 ) )
    & ( hskp1
      | hskp23
      | hskp2 )
    & ( hskp1
      | hskp4
      | hskp14 )
    & ( ! [X64] :
          ( ~ c0_1(X64)
          | c3_1(X64)
          | c2_1(X64)
          | ~ ndr1_0 )
      | hskp4
      | hskp17 )
    & ( hskp18
      | hskp20 )
    & ( ( ~ c0_1(a1348)
        & c1_1(a1348)
        & ndr1_0
        & c2_1(a1348) )
      | ~ hskp20 )
    & ( ~ hskp4
      | ( ~ c3_1(a1312)
        & ndr1_0
        & c0_1(a1312)
        & ~ c1_1(a1312) ) )
    & ( ! [X67] :
          ( ~ c0_1(X67)
          | c2_1(X67)
          | ~ c3_1(X67)
          | ~ ndr1_0 )
      | ! [X66] :
          ( c1_1(X66)
          | ~ c2_1(X66)
          | ~ c3_1(X66)
          | ~ ndr1_0 )
      | hskp27 )
    & ( hskp9
      | ! [X48] :
          ( c0_1(X48)
          | c2_1(X48)
          | ~ c1_1(X48)
          | ~ ndr1_0 )
      | ! [X47] :
          ( c3_1(X47)
          | ~ c1_1(X47)
          | c0_1(X47)
          | ~ ndr1_0 ) )
    & ( ! [X11] :
          ( ~ c1_1(X11)
          | c3_1(X11)
          | c0_1(X11)
          | ~ ndr1_0 )
      | ! [X12] :
          ( ~ c1_1(X12)
          | ~ c2_1(X12)
          | ~ c0_1(X12)
          | ~ ndr1_0 )
      | hskp12 )
    & ( ! [X44] :
          ( ~ c3_1(X44)
          | c2_1(X44)
          | ~ c1_1(X44)
          | ~ ndr1_0 )
      | ! [X43] :
          ( c1_1(X43)
          | c0_1(X43)
          | c2_1(X43)
          | ~ ndr1_0 )
      | ! [X42] :
          ( ~ c1_1(X42)
          | ~ c0_1(X42)
          | c3_1(X42)
          | ~ ndr1_0 ) )
    & ( hskp6
      | ! [X81] :
          ( c3_1(X81)
          | c2_1(X81)
          | c0_1(X81)
          | ~ ndr1_0 )
      | hskp10 )
    & ( ( ~ c1_1(a1326)
        & ~ c2_1(a1326)
        & ~ c3_1(a1326)
        & ndr1_0 )
      | ~ hskp13 )
    & ( ! [X26] :
          ( c0_1(X26)
          | c2_1(X26)
          | c1_1(X26)
          | ~ ndr1_0 )
      | hskp1
      | hskp27 )
    & ( hskp28
      | ! [X65] :
          ( c0_1(X65)
          | c3_1(X65)
          | ~ c1_1(X65)
          | ~ ndr1_0 ) )
    & ( ( c0_1(a1344)
        & ndr1_0
        & c1_1(a1344)
        & ~ c2_1(a1344) )
      | ~ hskp19 )
    & ( hskp4
      | ! [X56] :
          ( c0_1(X56)
          | ~ c2_1(X56)
          | c3_1(X56)
          | ~ ndr1_0 )
      | ! [X57] :
          ( ~ c1_1(X57)
          | ~ c3_1(X57)
          | c0_1(X57)
          | ~ ndr1_0 ) )
    & ( ! [X40] :
          ( c0_1(X40)
          | ~ c1_1(X40)
          | c3_1(X40)
          | ~ ndr1_0 )
      | ! [X39] :
          ( c3_1(X39)
          | c2_1(X39)
          | c1_1(X39)
          | ~ ndr1_0 )
      | ! [X41] :
          ( ~ c1_1(X41)
          | c3_1(X41)
          | ~ c0_1(X41)
          | ~ ndr1_0 ) )
    & ( ! [X61] :
          ( ~ c3_1(X61)
          | c2_1(X61)
          | c1_1(X61)
          | ~ ndr1_0 )
      | ! [X60] :
          ( c3_1(X60)
          | ~ c0_1(X60)
          | ~ c1_1(X60)
          | ~ ndr1_0 )
      | ! [X59] :
          ( c3_1(X59)
          | ~ c2_1(X59)
          | ~ c1_1(X59)
          | ~ ndr1_0 ) )
    & ( hskp18
      | hskp5
      | hskp16 )
    & ( ( c0_1(a1372)
        & ndr1_0
        & c1_1(a1372)
        & c3_1(a1372) )
      | ~ hskp30 )
    & ( ! [X92] :
          ( c0_1(X92)
          | c2_1(X92)
          | c3_1(X92)
          | ~ ndr1_0 )
      | hskp6
      | ! [X93] :
          ( c0_1(X93)
          | ~ c2_1(X93)
          | ~ c1_1(X93)
          | ~ ndr1_0 ) )
    & ( ( ndr1_0
        & c3_1(a1325)
        & ~ c0_1(a1325)
        & c1_1(a1325) )
      | ~ hskp12 )
    & ( hskp9
      | hskp2
      | hskp30 )
    & ( ~ hskp0
      | ( ~ c1_1(a1306)
        & ~ c2_1(a1306)
        & ~ c0_1(a1306)
        & ndr1_0 ) )
    & ( hskp29
      | hskp26
      | hskp20 )
    & ( ~ hskp15
      | ( ndr1_0
        & c1_1(a1331)
        & ~ c3_1(a1331)
        & c2_1(a1331) ) )
    & ( ( ~ c2_1(a1316)
        & ~ c3_1(a1316)
        & ndr1_0
        & ~ c0_1(a1316) )
      | ~ hskp7 )
    & ( ( c0_1(a1324)
        & c3_1(a1324)
        & ndr1_0
        & ~ c1_1(a1324) )
      | ~ hskp11 )
    & ( ~ hskp27
      | ( c0_1(a1307)
        & c1_1(a1307)
        & ndr1_0
        & c2_1(a1307) ) )
    & ( hskp9
      | hskp6
      | ! [X68] :
          ( ~ c2_1(X68)
          | c3_1(X68)
          | ~ c0_1(X68)
          | ~ ndr1_0 ) )
    & ( ( c0_1(a1394)
        & ~ c3_1(a1394)
        & ndr1_0
        & c2_1(a1394) )
      | ~ hskp25 )
    & ( ( ndr1_0
        & c2_1(a1334)
        & ~ c0_1(a1334)
        & c3_1(a1334) )
      | ~ hskp17 )
    & ( ! [X2] :
          ( c1_1(X2)
          | ~ c3_1(X2)
          | ~ c2_1(X2)
          | ~ ndr1_0 )
      | hskp22
      | hskp2 )
    & ( hskp2
      | hskp1
      | ! [X97] :
          ( c1_1(X97)
          | ~ c3_1(X97)
          | c2_1(X97)
          | ~ ndr1_0 ) )
    & ( hskp1
      | ! [X58] :
          ( c1_1(X58)
          | ~ c2_1(X58)
          | c3_1(X58)
          | ~ ndr1_0 )
      | hskp10 )
    & ( ! [X15] :
          ( ~ c1_1(X15)
          | ~ c3_1(X15)
          | ~ c2_1(X15)
          | ~ ndr1_0 )
      | hskp29
      | ! [X14] :
          ( ~ c0_1(X14)
          | ~ c1_1(X14)
          | ~ c3_1(X14)
          | ~ ndr1_0 ) )
    & ( ! [X46] :
          ( c2_1(X46)
          | c0_1(X46)
          | ~ c3_1(X46)
          | ~ ndr1_0 )
      | hskp13
      | ! [X45] :
          ( ~ c0_1(X45)
          | c1_1(X45)
          | c3_1(X45)
          | ~ ndr1_0 ) )
    & ( ( ndr1_0
        & c1_1(a1339)
        & ~ c2_1(a1339)
        & ~ c0_1(a1339) )
      | ~ hskp18 )
    & ( hskp27
      | ! [X70] :
          ( c3_1(X70)
          | ~ c1_1(X70)
          | ~ c0_1(X70)
          | ~ ndr1_0 )
      | ! [X69] :
          ( ~ c0_1(X69)
          | c3_1(X69)
          | c2_1(X69)
          | ~ ndr1_0 ) )
    & ( ~ hskp8
      | ( c2_1(a1319)
        & ~ c3_1(a1319)
        & ~ c0_1(a1319)
        & ndr1_0 ) )
    & ( hskp9
      | ! [X21] :
          ( c0_1(X21)
          | c2_1(X21)
          | c3_1(X21)
          | ~ ndr1_0 )
      | ! [X20] :
          ( c3_1(X20)
          | c2_1(X20)
          | ~ c0_1(X20)
          | ~ ndr1_0 ) )
    & ( hskp18
      | ! [X102] :
          ( c3_1(X102)
          | ~ c0_1(X102)
          | ~ c2_1(X102)
          | ~ ndr1_0 )
      | hskp30 )
    & ( hskp11
      | ! [X94] :
          ( ~ c1_1(X94)
          | ~ c3_1(X94)
          | ~ c2_1(X94)
          | ~ ndr1_0 )
      | hskp22 )
    & ( hskp7
      | hskp4
      | hskp3 )
    & ( ! [X36] :
          ( ~ c1_1(X36)
          | ~ c0_1(X36)
          | c2_1(X36)
          | ~ ndr1_0 )
      | ! [X37] :
          ( ~ c3_1(X37)
          | ~ c2_1(X37)
          | c0_1(X37)
          | ~ ndr1_0 )
      | hskp0 )
    & ( hskp7
      | ! [X35] :
          ( ~ c3_1(X35)
          | c2_1(X35)
          | ~ c1_1(X35)
          | ~ ndr1_0 )
      | ! [X34] :
          ( c1_1(X34)
          | ~ c3_1(X34)
          | c0_1(X34)
          | ~ ndr1_0 ) )
    & ( hskp25
      | hskp28
      | hskp14 )
    & ( ( ~ c1_1(a1311)
        & c0_1(a1311)
        & ndr1_0
        & c2_1(a1311) )
      | ~ hskp3 )
    & ( hskp23
      | hskp0
      | hskp6 )
    & ( hskp20
      | ! [X82] :
          ( ~ c3_1(X82)
          | c1_1(X82)
          | ~ c0_1(X82)
          | ~ ndr1_0 )
      | ! [X83] :
          ( ~ c1_1(X83)
          | c2_1(X83)
          | c3_1(X83)
          | ~ ndr1_0 ) )
    & ( ! [X30] :
          ( ~ c1_1(X30)
          | c0_1(X30)
          | c2_1(X30)
          | ~ ndr1_0 )
      | ! [X29] :
          ( c2_1(X29)
          | c1_1(X29)
          | c3_1(X29)
          | ~ ndr1_0 )
      | hskp11 )
    & ( hskp13
      | hskp14
      | ! [X13] :
          ( c3_1(X13)
          | ~ c1_1(X13)
          | c2_1(X13)
          | ~ ndr1_0 ) )
    & ( hskp11
      | ! [X27] :
          ( c3_1(X27)
          | ~ c2_1(X27)
          | ~ c0_1(X27)
          | ~ ndr1_0 )
      | ! [X28] :
          ( ~ c3_1(X28)
          | ~ c1_1(X28)
          | ~ c0_1(X28)
          | ~ ndr1_0 ) ) ),
    inference(ennf_transformation,[],[f4]) ).

fof(f4,plain,
    ( ( hskp16
      | ! [X52] :
          ( ndr1_0
         => ( c0_1(X52)
            | ~ c1_1(X52)
            | ~ c2_1(X52) ) )
      | hskp17 )
    & ( ! [X50] :
          ( ndr1_0
         => ( c1_1(X50)
            | ~ c0_1(X50)
            | ~ c3_1(X50) ) )
      | ! [X49] :
          ( ndr1_0
         => ( c0_1(X49)
            | ~ c1_1(X49)
            | ~ c3_1(X49) ) )
      | ! [X51] :
          ( ndr1_0
         => ( c0_1(X51)
            | ~ c3_1(X51)
            | c2_1(X51) ) ) )
    & ( hskp8
      | hskp7
      | ! [X77] :
          ( ndr1_0
         => ( c1_1(X77)
            | ~ c0_1(X77)
            | c2_1(X77) ) ) )
    & ( ! [X18] :
          ( ndr1_0
         => ( ~ c0_1(X18)
            | c2_1(X18)
            | ~ c3_1(X18) ) )
      | hskp28
      | ! [X19] :
          ( ndr1_0
         => ( c0_1(X19)
            | ~ c1_1(X19)
            | ~ c2_1(X19) ) ) )
    & ( ! [X9] :
          ( ndr1_0
         => ( ~ c3_1(X9)
            | c0_1(X9)
            | ~ c2_1(X9) ) )
      | ! [X8] :
          ( ndr1_0
         => ( ~ c2_1(X8)
            | ~ c0_1(X8)
            | c1_1(X8) ) )
      | ! [X7] :
          ( ndr1_0
         => ( ~ c2_1(X7)
            | c3_1(X7)
            | c0_1(X7) ) ) )
    & ( hskp12
      | hskp14
      | ! [X87] :
          ( ndr1_0
         => ( ~ c0_1(X87)
            | c3_1(X87)
            | ~ c2_1(X87) ) ) )
    & ( ! [X96] :
          ( ndr1_0
         => ( c1_1(X96)
            | c0_1(X96)
            | ~ c2_1(X96) ) )
      | hskp5
      | hskp6 )
    & ( ( ~ c0_1(a1359)
        & ndr1_0
        & ~ c1_1(a1359)
        & ~ c3_1(a1359) )
      | ~ hskp23 )
    & ( ! [X0] :
          ( ndr1_0
         => ( c3_1(X0)
            | c2_1(X0)
            | c0_1(X0) ) )
      | hskp6
      | ! [X1] :
          ( ndr1_0
         => ( c0_1(X1)
            | ~ c2_1(X1)
            | c3_1(X1) ) ) )
    & ( ( ~ c2_1(a1321)
        & ndr1_0
        & c0_1(a1321)
        & c3_1(a1321) )
      | ~ hskp10 )
    & ( ! [X72] :
          ( ndr1_0
         => ( ~ c3_1(X72)
            | ~ c2_1(X72)
            | ~ c1_1(X72) ) )
      | hskp17
      | ! [X73] :
          ( ndr1_0
         => ( ~ c0_1(X73)
            | ~ c2_1(X73)
            | ~ c1_1(X73) ) ) )
    & ( ( c3_1(a1328)
        & ndr1_0
        & c2_1(a1328)
        & c0_1(a1328) )
      | ~ hskp28 )
    & ( ( ~ c3_1(a1411)
        & ndr1_0
        & c0_1(a1411)
        & ~ c2_1(a1411) )
      | ~ hskp26 )
    & ( hskp22
      | ! [X5] :
          ( ndr1_0
         => ( ~ c2_1(X5)
            | c1_1(X5)
            | ~ c3_1(X5) ) )
      | hskp23 )
    & ( ! [X23] :
          ( ndr1_0
         => ( c0_1(X23)
            | c2_1(X23)
            | ~ c1_1(X23) ) )
      | ! [X24] :
          ( ndr1_0
         => ( c0_1(X24)
            | c2_1(X24)
            | ~ c3_1(X24) ) )
      | ! [X25] :
          ( ndr1_0
         => ( c2_1(X25)
            | ~ c1_1(X25)
            | c3_1(X25) ) ) )
    & ( ! [X76] :
          ( ndr1_0
         => ( c3_1(X76)
            | ~ c2_1(X76)
            | c0_1(X76) ) )
      | hskp14
      | hskp15 )
    & ( hskp3
      | ! [X89] :
          ( ndr1_0
         => ( c3_1(X89)
            | c0_1(X89)
            | c1_1(X89) ) )
      | ! [X88] :
          ( ndr1_0
         => ( c2_1(X88)
            | ~ c0_1(X88)
            | ~ c3_1(X88) ) ) )
    & ( hskp20
      | hskp6
      | hskp25 )
    & ( ! [X32] :
          ( ndr1_0
         => ( c1_1(X32)
            | c3_1(X32)
            | ~ c0_1(X32) ) )
      | ! [X33] :
          ( ndr1_0
         => ( c2_1(X33)
            | ~ c0_1(X33)
            | c1_1(X33) ) )
      | hskp15 )
    & ( ! [X86] :
          ( ndr1_0
         => ( c2_1(X86)
            | c1_1(X86)
            | ~ c3_1(X86) ) )
      | ! [X85] :
          ( ndr1_0
         => ( c1_1(X85)
            | ~ c2_1(X85)
            | ~ c0_1(X85) ) )
      | ! [X84] :
          ( ndr1_0
         => ( c3_1(X84)
            | c2_1(X84)
            | ~ c0_1(X84) ) ) )
    & ( ( ~ c2_1(a1352)
        & c3_1(a1352)
        & ~ c0_1(a1352)
        & ndr1_0 )
      | ~ hskp21 )
    & ( ( c3_1(a1309)
        & ~ c2_1(a1309)
        & ndr1_0
        & c1_1(a1309) )
      | ~ hskp2 )
    & ( hskp14
      | hskp9
      | hskp19 )
    & ( hskp16
      | ! [X79] :
          ( ndr1_0
         => ( c3_1(X79)
            | c2_1(X79)
            | ~ c1_1(X79) ) )
      | hskp14 )
    & ( hskp1
      | ! [X80] :
          ( ndr1_0
         => ( c0_1(X80)
            | c2_1(X80)
            | c1_1(X80) ) )
      | hskp2 )
    & ( hskp8
      | ! [X22] :
          ( ndr1_0
         => ( ~ c1_1(X22)
            | c3_1(X22)
            | ~ c0_1(X22) ) )
      | hskp14 )
    & ( hskp1
      | hskp28
      | hskp7 )
    & ( ~ hskp29
      | ( ndr1_0
        & c3_1(a1338)
        & c1_1(a1338)
        & c2_1(a1338) ) )
    & ( hskp12
      | hskp0
      | ! [X38] :
          ( ndr1_0
         => ( c1_1(X38)
            | ~ c0_1(X38)
            | ~ c2_1(X38) ) ) )
    & ( ( c0_1(a1330)
        & ~ c1_1(a1330)
        & ~ c2_1(a1330)
        & ndr1_0 )
      | ~ hskp14 )
    & ( hskp0
      | ! [X78] :
          ( ndr1_0
         => ( ~ c0_1(X78)
            | c1_1(X78)
            | ~ c2_1(X78) ) )
      | hskp21 )
    & ( hskp15
      | hskp19
      | ! [X95] :
          ( ndr1_0
         => ( c1_1(X95)
            | ~ c0_1(X95)
            | c3_1(X95) ) ) )
    & ( hskp14
      | hskp27
      | hskp18 )
    & ( ! [X91] :
          ( ndr1_0
         => ( c1_1(X91)
            | c2_1(X91)
            | c0_1(X91) ) )
      | ! [X90] :
          ( ndr1_0
         => ( ~ c2_1(X90)
            | c1_1(X90)
            | c3_1(X90) ) )
      | hskp0 )
    & ( hskp13
      | ! [X98] :
          ( ndr1_0
         => ( ~ c0_1(X98)
            | ~ c1_1(X98)
            | ~ c2_1(X98) ) ) )
    & ( hskp8
      | ! [X74] :
          ( ndr1_0
         => ( ~ c2_1(X74)
            | c0_1(X74)
            | ~ c3_1(X74) ) )
      | ! [X75] :
          ( ndr1_0
         => ( c2_1(X75)
            | c3_1(X75)
            | c0_1(X75) ) ) )
    & ( hskp24
      | hskp20
      | ! [X6] :
          ( ndr1_0
         => ( ~ c1_1(X6)
            | c3_1(X6)
            | ~ c0_1(X6) ) ) )
    & ( ! [X31] :
          ( ndr1_0
         => ( ~ c0_1(X31)
            | c1_1(X31)
            | ~ c2_1(X31) ) )
      | hskp20
      | hskp2 )
    & ( ( ndr1_0
        & ~ c1_1(a1308)
        & c3_1(a1308)
        & ~ c2_1(a1308) )
      | ~ hskp1 )
    & ( ! [X99] :
          ( ndr1_0
         => ( ~ c2_1(X99)
            | c0_1(X99)
            | c1_1(X99) ) )
      | ! [X100] :
          ( ndr1_0
         => ( c0_1(X100)
            | c1_1(X100)
            | c3_1(X100) ) )
      | ! [X101] :
          ( ndr1_0
         => ( c1_1(X101)
            | ~ c2_1(X101)
            | c3_1(X101) ) ) )
    & ( hskp7
      | hskp21
      | hskp3 )
    & ( hskp5
      | ! [X3] :
          ( ndr1_0
         => ( ~ c1_1(X3)
            | ~ c3_1(X3)
            | ~ c0_1(X3) ) )
      | ! [X4] :
          ( ndr1_0
         => ( c3_1(X4)
            | ~ c2_1(X4)
            | ~ c1_1(X4) ) ) )
    & ( ! [X54] :
          ( ndr1_0
         => ( ~ c3_1(X54)
            | ~ c0_1(X54)
            | ~ c1_1(X54) ) )
      | ! [X53] :
          ( ndr1_0
         => ( c3_1(X53)
            | ~ c2_1(X53)
            | c0_1(X53) ) )
      | ! [X55] :
          ( ndr1_0
         => ( ~ c3_1(X55)
            | c0_1(X55)
            | ~ c2_1(X55) ) ) )
    & ( ( c1_1(a1314)
        & ~ c3_1(a1314)
        & ndr1_0
        & ~ c0_1(a1314) )
      | ~ hskp5 )
    & ( hskp26
      | hskp12 )
    & ( ~ hskp22
      | ( c0_1(a1356)
        & ~ c3_1(a1356)
        & ndr1_0
        & c1_1(a1356) ) )
    & ( ( ~ c3_1(a1370)
        & ndr1_0
        & c2_1(a1370)
        & ~ c1_1(a1370) )
      | ~ hskp24 )
    & ( hskp18
      | ! [X71] :
          ( ndr1_0
         => ( c2_1(X71)
            | c1_1(X71)
            | ~ c0_1(X71) ) )
      | hskp29 )
    & ( ( c3_1(a1315)
        & ndr1_0
        & ~ c1_1(a1315)
        & ~ c0_1(a1315) )
      | ~ hskp6 )
    & ( ! [X16] :
          ( ndr1_0
         => ( c0_1(X16)
            | ~ c1_1(X16)
            | c2_1(X16) ) )
      | hskp12
      | ! [X17] :
          ( ndr1_0
         => ( ~ c2_1(X17)
            | ~ c1_1(X17)
            | ~ c3_1(X17) ) ) )
    & ( ( ~ c3_1(a1333)
        & ~ c2_1(a1333)
        & ndr1_0
        & c1_1(a1333) )
      | ~ hskp16 )
    & ( ( c2_1(a1320)
        & ~ c1_1(a1320)
        & ~ c0_1(a1320)
        & ndr1_0 )
      | ~ hskp9 )
    & ( ! [X63] :
          ( ndr1_0
         => ( c1_1(X63)
            | c2_1(X63)
            | ~ c0_1(X63) ) )
      | ! [X62] :
          ( ndr1_0
         => ( ~ c0_1(X62)
            | ~ c2_1(X62)
            | c3_1(X62) ) )
      | hskp14 )
    & ( hskp4
      | hskp2
      | ! [X10] :
          ( ndr1_0
         => ( ~ c2_1(X10)
            | c0_1(X10)
            | c1_1(X10) ) ) )
    & ( hskp1
      | hskp23
      | hskp2 )
    & ( hskp1
      | hskp4
      | hskp14 )
    & ( ! [X64] :
          ( ndr1_0
         => ( ~ c0_1(X64)
            | c3_1(X64)
            | c2_1(X64) ) )
      | hskp4
      | hskp17 )
    & ( hskp18
      | hskp20 )
    & ( ( ~ c0_1(a1348)
        & c1_1(a1348)
        & ndr1_0
        & c2_1(a1348) )
      | ~ hskp20 )
    & ( ~ hskp4
      | ( ~ c3_1(a1312)
        & ndr1_0
        & c0_1(a1312)
        & ~ c1_1(a1312) ) )
    & ( ! [X67] :
          ( ndr1_0
         => ( ~ c0_1(X67)
            | c2_1(X67)
            | ~ c3_1(X67) ) )
      | ! [X66] :
          ( ndr1_0
         => ( c1_1(X66)
            | ~ c2_1(X66)
            | ~ c3_1(X66) ) )
      | hskp27 )
    & ( hskp9
      | ! [X48] :
          ( ndr1_0
         => ( c0_1(X48)
            | c2_1(X48)
            | ~ c1_1(X48) ) )
      | ! [X47] :
          ( ndr1_0
         => ( c3_1(X47)
            | ~ c1_1(X47)
            | c0_1(X47) ) ) )
    & ( ! [X11] :
          ( ndr1_0
         => ( ~ c1_1(X11)
            | c3_1(X11)
            | c0_1(X11) ) )
      | ! [X12] :
          ( ndr1_0
         => ( ~ c1_1(X12)
            | ~ c2_1(X12)
            | ~ c0_1(X12) ) )
      | hskp12 )
    & ( ! [X44] :
          ( ndr1_0
         => ( ~ c3_1(X44)
            | c2_1(X44)
            | ~ c1_1(X44) ) )
      | ! [X43] :
          ( ndr1_0
         => ( c1_1(X43)
            | c0_1(X43)
            | c2_1(X43) ) )
      | ! [X42] :
          ( ndr1_0
         => ( ~ c1_1(X42)
            | ~ c0_1(X42)
            | c3_1(X42) ) ) )
    & ( hskp6
      | ! [X81] :
          ( ndr1_0
         => ( c3_1(X81)
            | c2_1(X81)
            | c0_1(X81) ) )
      | hskp10 )
    & ( ( ~ c1_1(a1326)
        & ~ c2_1(a1326)
        & ~ c3_1(a1326)
        & ndr1_0 )
      | ~ hskp13 )
    & ( ! [X26] :
          ( ndr1_0
         => ( c0_1(X26)
            | c2_1(X26)
            | c1_1(X26) ) )
      | hskp1
      | hskp27 )
    & ( hskp28
      | ! [X65] :
          ( ndr1_0
         => ( c0_1(X65)
            | c3_1(X65)
            | ~ c1_1(X65) ) ) )
    & ( ( c0_1(a1344)
        & ndr1_0
        & c1_1(a1344)
        & ~ c2_1(a1344) )
      | ~ hskp19 )
    & ( hskp4
      | ! [X56] :
          ( ndr1_0
         => ( c0_1(X56)
            | ~ c2_1(X56)
            | c3_1(X56) ) )
      | ! [X57] :
          ( ndr1_0
         => ( ~ c1_1(X57)
            | ~ c3_1(X57)
            | c0_1(X57) ) ) )
    & ( ! [X40] :
          ( ndr1_0
         => ( c0_1(X40)
            | ~ c1_1(X40)
            | c3_1(X40) ) )
      | ! [X39] :
          ( ndr1_0
         => ( c3_1(X39)
            | c2_1(X39)
            | c1_1(X39) ) )
      | ! [X41] :
          ( ndr1_0
         => ( ~ c1_1(X41)
            | c3_1(X41)
            | ~ c0_1(X41) ) ) )
    & ( ! [X61] :
          ( ndr1_0
         => ( ~ c3_1(X61)
            | c2_1(X61)
            | c1_1(X61) ) )
      | ! [X60] :
          ( ndr1_0
         => ( c3_1(X60)
            | ~ c0_1(X60)
            | ~ c1_1(X60) ) )
      | ! [X59] :
          ( ndr1_0
         => ( c3_1(X59)
            | ~ c2_1(X59)
            | ~ c1_1(X59) ) ) )
    & ( hskp18
      | hskp5
      | hskp16 )
    & ( ( c0_1(a1372)
        & ndr1_0
        & c1_1(a1372)
        & c3_1(a1372) )
      | ~ hskp30 )
    & ( ! [X92] :
          ( ndr1_0
         => ( c0_1(X92)
            | c2_1(X92)
            | c3_1(X92) ) )
      | hskp6
      | ! [X93] :
          ( ndr1_0
         => ( c0_1(X93)
            | ~ c2_1(X93)
            | ~ c1_1(X93) ) ) )
    & ( ( ndr1_0
        & c3_1(a1325)
        & ~ c0_1(a1325)
        & c1_1(a1325) )
      | ~ hskp12 )
    & ( hskp9
      | hskp2
      | hskp30 )
    & ( ~ hskp0
      | ( ~ c1_1(a1306)
        & ~ c2_1(a1306)
        & ~ c0_1(a1306)
        & ndr1_0 ) )
    & ( hskp29
      | hskp26
      | hskp20 )
    & ( ~ hskp15
      | ( ndr1_0
        & c1_1(a1331)
        & ~ c3_1(a1331)
        & c2_1(a1331) ) )
    & ( ( ~ c2_1(a1316)
        & ~ c3_1(a1316)
        & ndr1_0
        & ~ c0_1(a1316) )
      | ~ hskp7 )
    & ( ( c0_1(a1324)
        & c3_1(a1324)
        & ndr1_0
        & ~ c1_1(a1324) )
      | ~ hskp11 )
    & ( ~ hskp27
      | ( c0_1(a1307)
        & c1_1(a1307)
        & ndr1_0
        & c2_1(a1307) ) )
    & ( hskp9
      | hskp6
      | ! [X68] :
          ( ndr1_0
         => ( ~ c2_1(X68)
            | c3_1(X68)
            | ~ c0_1(X68) ) ) )
    & ( ( c0_1(a1394)
        & ~ c3_1(a1394)
        & ndr1_0
        & c2_1(a1394) )
      | ~ hskp25 )
    & ( ( ndr1_0
        & c2_1(a1334)
        & ~ c0_1(a1334)
        & c3_1(a1334) )
      | ~ hskp17 )
    & ( ! [X2] :
          ( ndr1_0
         => ( c1_1(X2)
            | ~ c3_1(X2)
            | ~ c2_1(X2) ) )
      | hskp22
      | hskp2 )
    & ( hskp2
      | hskp1
      | ! [X97] :
          ( ndr1_0
         => ( c1_1(X97)
            | ~ c3_1(X97)
            | c2_1(X97) ) ) )
    & ( hskp1
      | ! [X58] :
          ( ndr1_0
         => ( c1_1(X58)
            | ~ c2_1(X58)
            | c3_1(X58) ) )
      | hskp10 )
    & ( ! [X15] :
          ( ndr1_0
         => ( ~ c1_1(X15)
            | ~ c3_1(X15)
            | ~ c2_1(X15) ) )
      | hskp29
      | ! [X14] :
          ( ndr1_0
         => ( ~ c0_1(X14)
            | ~ c1_1(X14)
            | ~ c3_1(X14) ) ) )
    & ( ! [X46] :
          ( ndr1_0
         => ( c2_1(X46)
            | c0_1(X46)
            | ~ c3_1(X46) ) )
      | hskp13
      | ! [X45] :
          ( ndr1_0
         => ( ~ c0_1(X45)
            | c1_1(X45)
            | c3_1(X45) ) ) )
    & ( ( ndr1_0
        & c1_1(a1339)
        & ~ c2_1(a1339)
        & ~ c0_1(a1339) )
      | ~ hskp18 )
    & ( hskp27
      | ! [X70] :
          ( ndr1_0
         => ( c3_1(X70)
            | ~ c1_1(X70)
            | ~ c0_1(X70) ) )
      | ! [X69] :
          ( ndr1_0
         => ( ~ c0_1(X69)
            | c3_1(X69)
            | c2_1(X69) ) ) )
    & ( ~ hskp8
      | ( c2_1(a1319)
        & ~ c3_1(a1319)
        & ~ c0_1(a1319)
        & ndr1_0 ) )
    & ( hskp9
      | ! [X21] :
          ( ndr1_0
         => ( c0_1(X21)
            | c2_1(X21)
            | c3_1(X21) ) )
      | ! [X20] :
          ( ndr1_0
         => ( c3_1(X20)
            | c2_1(X20)
            | ~ c0_1(X20) ) ) )
    & ( hskp18
      | ! [X102] :
          ( ndr1_0
         => ( c3_1(X102)
            | ~ c0_1(X102)
            | ~ c2_1(X102) ) )
      | hskp30 )
    & ( hskp11
      | ! [X94] :
          ( ndr1_0
         => ( ~ c1_1(X94)
            | ~ c3_1(X94)
            | ~ c2_1(X94) ) )
      | hskp22 )
    & ( hskp7
      | hskp4
      | hskp3 )
    & ( ! [X36] :
          ( ndr1_0
         => ( ~ c1_1(X36)
            | ~ c0_1(X36)
            | c2_1(X36) ) )
      | ! [X37] :
          ( ndr1_0
         => ( ~ c3_1(X37)
            | ~ c2_1(X37)
            | c0_1(X37) ) )
      | hskp0 )
    & ( hskp7
      | ! [X35] :
          ( ndr1_0
         => ( ~ c3_1(X35)
            | c2_1(X35)
            | ~ c1_1(X35) ) )
      | ! [X34] :
          ( ndr1_0
         => ( c1_1(X34)
            | ~ c3_1(X34)
            | c0_1(X34) ) ) )
    & ( hskp25
      | hskp28
      | hskp14 )
    & ( ( ~ c1_1(a1311)
        & c0_1(a1311)
        & ndr1_0
        & c2_1(a1311) )
      | ~ hskp3 )
    & ( hskp23
      | hskp0
      | hskp6 )
    & ( hskp20
      | ! [X82] :
          ( ndr1_0
         => ( ~ c3_1(X82)
            | c1_1(X82)
            | ~ c0_1(X82) ) )
      | ! [X83] :
          ( ndr1_0
         => ( ~ c1_1(X83)
            | c2_1(X83)
            | c3_1(X83) ) ) )
    & ( ! [X30] :
          ( ndr1_0
         => ( ~ c1_1(X30)
            | c0_1(X30)
            | c2_1(X30) ) )
      | ! [X29] :
          ( ndr1_0
         => ( c2_1(X29)
            | c1_1(X29)
            | c3_1(X29) ) )
      | hskp11 )
    & ( hskp13
      | hskp14
      | ! [X13] :
          ( ndr1_0
         => ( c3_1(X13)
            | ~ c1_1(X13)
            | c2_1(X13) ) ) )
    & ( hskp11
      | ! [X27] :
          ( ndr1_0
         => ( c3_1(X27)
            | ~ c2_1(X27)
            | ~ c0_1(X27) ) )
      | ! [X28] :
          ( ndr1_0
         => ( ~ c3_1(X28)
            | ~ c1_1(X28)
            | ~ c0_1(X28) ) ) ) ),
    inference(flattening,[],[f3]) ).

fof(f3,plain,
    ~ ~ ( ( hskp16
          | ! [X52] :
              ( ndr1_0
             => ( c0_1(X52)
                | ~ c1_1(X52)
                | ~ c2_1(X52) ) )
          | hskp17 )
        & ( ! [X50] :
              ( ndr1_0
             => ( c1_1(X50)
                | ~ c0_1(X50)
                | ~ c3_1(X50) ) )
          | ! [X49] :
              ( ndr1_0
             => ( c0_1(X49)
                | ~ c1_1(X49)
                | ~ c3_1(X49) ) )
          | ! [X51] :
              ( ndr1_0
             => ( c0_1(X51)
                | ~ c3_1(X51)
                | c2_1(X51) ) ) )
        & ( hskp8
          | hskp7
          | ! [X77] :
              ( ndr1_0
             => ( c1_1(X77)
                | ~ c0_1(X77)
                | c2_1(X77) ) ) )
        & ( ! [X18] :
              ( ndr1_0
             => ( ~ c0_1(X18)
                | c2_1(X18)
                | ~ c3_1(X18) ) )
          | hskp28
          | ! [X19] :
              ( ndr1_0
             => ( c0_1(X19)
                | ~ c1_1(X19)
                | ~ c2_1(X19) ) ) )
        & ( ! [X9] :
              ( ndr1_0
             => ( ~ c3_1(X9)
                | c0_1(X9)
                | ~ c2_1(X9) ) )
          | ! [X8] :
              ( ndr1_0
             => ( ~ c2_1(X8)
                | ~ c0_1(X8)
                | c1_1(X8) ) )
          | ! [X7] :
              ( ndr1_0
             => ( ~ c2_1(X7)
                | c3_1(X7)
                | c0_1(X7) ) ) )
        & ( hskp12
          | hskp14
          | ! [X87] :
              ( ndr1_0
             => ( ~ c0_1(X87)
                | c3_1(X87)
                | ~ c2_1(X87) ) ) )
        & ( ! [X96] :
              ( ndr1_0
             => ( c1_1(X96)
                | c0_1(X96)
                | ~ c2_1(X96) ) )
          | hskp5
          | hskp6 )
        & ( ( ~ c0_1(a1359)
            & ndr1_0
            & ~ c1_1(a1359)
            & ~ c3_1(a1359) )
          | ~ hskp23 )
        & ( ! [X0] :
              ( ndr1_0
             => ( c3_1(X0)
                | c2_1(X0)
                | c0_1(X0) ) )
          | hskp6
          | ! [X1] :
              ( ndr1_0
             => ( c0_1(X1)
                | ~ c2_1(X1)
                | c3_1(X1) ) ) )
        & ( ( ~ c2_1(a1321)
            & ndr1_0
            & c0_1(a1321)
            & c3_1(a1321) )
          | ~ hskp10 )
        & ( ! [X72] :
              ( ndr1_0
             => ( ~ c3_1(X72)
                | ~ c2_1(X72)
                | ~ c1_1(X72) ) )
          | hskp17
          | ! [X73] :
              ( ndr1_0
             => ( ~ c0_1(X73)
                | ~ c2_1(X73)
                | ~ c1_1(X73) ) ) )
        & ( ( c3_1(a1328)
            & ndr1_0
            & c2_1(a1328)
            & c0_1(a1328) )
          | ~ hskp28 )
        & ( ( ~ c3_1(a1411)
            & ndr1_0
            & c0_1(a1411)
            & ~ c2_1(a1411) )
          | ~ hskp26 )
        & ( hskp22
          | ! [X5] :
              ( ndr1_0
             => ( ~ c2_1(X5)
                | c1_1(X5)
                | ~ c3_1(X5) ) )
          | hskp23 )
        & ( ! [X23] :
              ( ndr1_0
             => ( c0_1(X23)
                | c2_1(X23)
                | ~ c1_1(X23) ) )
          | ! [X24] :
              ( ndr1_0
             => ( c0_1(X24)
                | c2_1(X24)
                | ~ c3_1(X24) ) )
          | ! [X25] :
              ( ndr1_0
             => ( c2_1(X25)
                | ~ c1_1(X25)
                | c3_1(X25) ) ) )
        & ( ! [X76] :
              ( ndr1_0
             => ( c3_1(X76)
                | ~ c2_1(X76)
                | c0_1(X76) ) )
          | hskp14
          | hskp15 )
        & ( hskp3
          | ! [X89] :
              ( ndr1_0
             => ( c3_1(X89)
                | c0_1(X89)
                | c1_1(X89) ) )
          | ! [X88] :
              ( ndr1_0
             => ( c2_1(X88)
                | ~ c0_1(X88)
                | ~ c3_1(X88) ) ) )
        & ( hskp20
          | hskp6
          | hskp25 )
        & ( ! [X32] :
              ( ndr1_0
             => ( c1_1(X32)
                | c3_1(X32)
                | ~ c0_1(X32) ) )
          | ! [X33] :
              ( ndr1_0
             => ( c2_1(X33)
                | ~ c0_1(X33)
                | c1_1(X33) ) )
          | hskp15 )
        & ( ! [X86] :
              ( ndr1_0
             => ( c2_1(X86)
                | c1_1(X86)
                | ~ c3_1(X86) ) )
          | ! [X85] :
              ( ndr1_0
             => ( c1_1(X85)
                | ~ c2_1(X85)
                | ~ c0_1(X85) ) )
          | ! [X84] :
              ( ndr1_0
             => ( c3_1(X84)
                | c2_1(X84)
                | ~ c0_1(X84) ) ) )
        & ( ( ~ c2_1(a1352)
            & c3_1(a1352)
            & ~ c0_1(a1352)
            & ndr1_0 )
          | ~ hskp21 )
        & ( ( c3_1(a1309)
            & ~ c2_1(a1309)
            & ndr1_0
            & c1_1(a1309) )
          | ~ hskp2 )
        & ( hskp14
          | hskp9
          | hskp19 )
        & ( hskp16
          | ! [X79] :
              ( ndr1_0
             => ( c3_1(X79)
                | c2_1(X79)
                | ~ c1_1(X79) ) )
          | hskp14 )
        & ( hskp1
          | ! [X80] :
              ( ndr1_0
             => ( c0_1(X80)
                | c2_1(X80)
                | c1_1(X80) ) )
          | hskp2 )
        & ( hskp8
          | ! [X22] :
              ( ndr1_0
             => ( ~ c1_1(X22)
                | c3_1(X22)
                | ~ c0_1(X22) ) )
          | hskp14 )
        & ( hskp1
          | hskp28
          | hskp7 )
        & ( ~ hskp29
          | ( ndr1_0
            & c3_1(a1338)
            & c1_1(a1338)
            & c2_1(a1338) ) )
        & ( hskp12
          | hskp0
          | ! [X38] :
              ( ndr1_0
             => ( c1_1(X38)
                | ~ c0_1(X38)
                | ~ c2_1(X38) ) ) )
        & ( ( c0_1(a1330)
            & ~ c1_1(a1330)
            & ~ c2_1(a1330)
            & ndr1_0 )
          | ~ hskp14 )
        & ( hskp0
          | ! [X78] :
              ( ndr1_0
             => ( ~ c0_1(X78)
                | c1_1(X78)
                | ~ c2_1(X78) ) )
          | hskp21 )
        & ( hskp15
          | hskp19
          | ! [X95] :
              ( ndr1_0
             => ( c1_1(X95)
                | ~ c0_1(X95)
                | c3_1(X95) ) ) )
        & ( hskp14
          | hskp27
          | hskp18 )
        & ( ! [X91] :
              ( ndr1_0
             => ( c1_1(X91)
                | c2_1(X91)
                | c0_1(X91) ) )
          | ! [X90] :
              ( ndr1_0
             => ( ~ c2_1(X90)
                | c1_1(X90)
                | c3_1(X90) ) )
          | hskp0 )
        & ( hskp13
          | ! [X98] :
              ( ndr1_0
             => ( ~ c0_1(X98)
                | ~ c1_1(X98)
                | ~ c2_1(X98) ) ) )
        & ( hskp8
          | ! [X74] :
              ( ndr1_0
             => ( ~ c2_1(X74)
                | c0_1(X74)
                | ~ c3_1(X74) ) )
          | ! [X75] :
              ( ndr1_0
             => ( c2_1(X75)
                | c3_1(X75)
                | c0_1(X75) ) ) )
        & ( hskp24
          | hskp20
          | ! [X6] :
              ( ndr1_0
             => ( ~ c1_1(X6)
                | c3_1(X6)
                | ~ c0_1(X6) ) ) )
        & ( ! [X31] :
              ( ndr1_0
             => ( ~ c0_1(X31)
                | c1_1(X31)
                | ~ c2_1(X31) ) )
          | hskp20
          | hskp2 )
        & ( ( ndr1_0
            & ~ c1_1(a1308)
            & c3_1(a1308)
            & ~ c2_1(a1308) )
          | ~ hskp1 )
        & ( ! [X99] :
              ( ndr1_0
             => ( ~ c2_1(X99)
                | c0_1(X99)
                | c1_1(X99) ) )
          | ! [X100] :
              ( ndr1_0
             => ( c0_1(X100)
                | c1_1(X100)
                | c3_1(X100) ) )
          | ! [X101] :
              ( ndr1_0
             => ( c1_1(X101)
                | ~ c2_1(X101)
                | c3_1(X101) ) ) )
        & ( hskp7
          | hskp21
          | hskp3 )
        & ( hskp5
          | ! [X3] :
              ( ndr1_0
             => ( ~ c1_1(X3)
                | ~ c3_1(X3)
                | ~ c0_1(X3) ) )
          | ! [X4] :
              ( ndr1_0
             => ( c3_1(X4)
                | ~ c2_1(X4)
                | ~ c1_1(X4) ) ) )
        & ( ! [X54] :
              ( ndr1_0
             => ( ~ c3_1(X54)
                | ~ c0_1(X54)
                | ~ c1_1(X54) ) )
          | ! [X53] :
              ( ndr1_0
             => ( c3_1(X53)
                | ~ c2_1(X53)
                | c0_1(X53) ) )
          | ! [X55] :
              ( ndr1_0
             => ( ~ c3_1(X55)
                | c0_1(X55)
                | ~ c2_1(X55) ) ) )
        & ( ( c1_1(a1314)
            & ~ c3_1(a1314)
            & ndr1_0
            & ~ c0_1(a1314) )
          | ~ hskp5 )
        & ( hskp26
          | hskp12 )
        & ( ~ hskp22
          | ( c0_1(a1356)
            & ~ c3_1(a1356)
            & ndr1_0
            & c1_1(a1356) ) )
        & ( ( ~ c3_1(a1370)
            & ndr1_0
            & c2_1(a1370)
            & ~ c1_1(a1370) )
          | ~ hskp24 )
        & ( hskp18
          | ! [X71] :
              ( ndr1_0
             => ( c2_1(X71)
                | c1_1(X71)
                | ~ c0_1(X71) ) )
          | hskp29 )
        & ( ( c3_1(a1315)
            & ndr1_0
            & ~ c1_1(a1315)
            & ~ c0_1(a1315) )
          | ~ hskp6 )
        & ( ! [X16] :
              ( ndr1_0
             => ( c0_1(X16)
                | ~ c1_1(X16)
                | c2_1(X16) ) )
          | hskp12
          | ! [X17] :
              ( ndr1_0
             => ( ~ c2_1(X17)
                | ~ c1_1(X17)
                | ~ c3_1(X17) ) ) )
        & ( ( ~ c3_1(a1333)
            & ~ c2_1(a1333)
            & ndr1_0
            & c1_1(a1333) )
          | ~ hskp16 )
        & ( ( c2_1(a1320)
            & ~ c1_1(a1320)
            & ~ c0_1(a1320)
            & ndr1_0 )
          | ~ hskp9 )
        & ( ! [X63] :
              ( ndr1_0
             => ( c1_1(X63)
                | c2_1(X63)
                | ~ c0_1(X63) ) )
          | ! [X62] :
              ( ndr1_0
             => ( ~ c0_1(X62)
                | ~ c2_1(X62)
                | c3_1(X62) ) )
          | hskp14 )
        & ( hskp4
          | hskp2
          | ! [X10] :
              ( ndr1_0
             => ( ~ c2_1(X10)
                | c0_1(X10)
                | c1_1(X10) ) ) )
        & ( hskp1
          | hskp23
          | hskp2 )
        & ( hskp1
          | hskp4
          | hskp14 )
        & ( ! [X64] :
              ( ndr1_0
             => ( ~ c0_1(X64)
                | c3_1(X64)
                | c2_1(X64) ) )
          | hskp4
          | hskp17 )
        & ( hskp18
          | hskp20 )
        & ( ( ~ c0_1(a1348)
            & c1_1(a1348)
            & ndr1_0
            & c2_1(a1348) )
          | ~ hskp20 )
        & ( ~ hskp4
          | ( ~ c3_1(a1312)
            & ndr1_0
            & c0_1(a1312)
            & ~ c1_1(a1312) ) )
        & ( ! [X67] :
              ( ndr1_0
             => ( ~ c0_1(X67)
                | c2_1(X67)
                | ~ c3_1(X67) ) )
          | ! [X66] :
              ( ndr1_0
             => ( c1_1(X66)
                | ~ c2_1(X66)
                | ~ c3_1(X66) ) )
          | hskp27 )
        & ( hskp9
          | ! [X48] :
              ( ndr1_0
             => ( c0_1(X48)
                | c2_1(X48)
                | ~ c1_1(X48) ) )
          | ! [X47] :
              ( ndr1_0
             => ( c3_1(X47)
                | ~ c1_1(X47)
                | c0_1(X47) ) ) )
        & ( ! [X11] :
              ( ndr1_0
             => ( ~ c1_1(X11)
                | c3_1(X11)
                | c0_1(X11) ) )
          | ! [X12] :
              ( ndr1_0
             => ( ~ c1_1(X12)
                | ~ c2_1(X12)
                | ~ c0_1(X12) ) )
          | hskp12 )
        & ( ! [X44] :
              ( ndr1_0
             => ( ~ c3_1(X44)
                | c2_1(X44)
                | ~ c1_1(X44) ) )
          | ! [X43] :
              ( ndr1_0
             => ( c1_1(X43)
                | c0_1(X43)
                | c2_1(X43) ) )
          | ! [X42] :
              ( ndr1_0
             => ( ~ c1_1(X42)
                | ~ c0_1(X42)
                | c3_1(X42) ) ) )
        & ( hskp6
          | ! [X81] :
              ( ndr1_0
             => ( c3_1(X81)
                | c2_1(X81)
                | c0_1(X81) ) )
          | hskp10 )
        & ( ( ~ c1_1(a1326)
            & ~ c2_1(a1326)
            & ~ c3_1(a1326)
            & ndr1_0 )
          | ~ hskp13 )
        & ( ! [X26] :
              ( ndr1_0
             => ( c0_1(X26)
                | c2_1(X26)
                | c1_1(X26) ) )
          | hskp1
          | hskp27 )
        & ( hskp28
          | ! [X65] :
              ( ndr1_0
             => ( c0_1(X65)
                | c3_1(X65)
                | ~ c1_1(X65) ) ) )
        & ( ( c0_1(a1344)
            & ndr1_0
            & c1_1(a1344)
            & ~ c2_1(a1344) )
          | ~ hskp19 )
        & ( hskp4
          | ! [X56] :
              ( ndr1_0
             => ( c0_1(X56)
                | ~ c2_1(X56)
                | c3_1(X56) ) )
          | ! [X57] :
              ( ndr1_0
             => ( ~ c1_1(X57)
                | ~ c3_1(X57)
                | c0_1(X57) ) ) )
        & ( ! [X40] :
              ( ndr1_0
             => ( c0_1(X40)
                | ~ c1_1(X40)
                | c3_1(X40) ) )
          | ! [X39] :
              ( ndr1_0
             => ( c3_1(X39)
                | c2_1(X39)
                | c1_1(X39) ) )
          | ! [X41] :
              ( ndr1_0
             => ( ~ c1_1(X41)
                | c3_1(X41)
                | ~ c0_1(X41) ) ) )
        & ( ! [X61] :
              ( ndr1_0
             => ( ~ c3_1(X61)
                | c2_1(X61)
                | c1_1(X61) ) )
          | ! [X60] :
              ( ndr1_0
             => ( c3_1(X60)
                | ~ c0_1(X60)
                | ~ c1_1(X60) ) )
          | ! [X59] :
              ( ndr1_0
             => ( c3_1(X59)
                | ~ c2_1(X59)
                | ~ c1_1(X59) ) ) )
        & ( hskp18
          | hskp5
          | hskp16 )
        & ( ( c0_1(a1372)
            & ndr1_0
            & c1_1(a1372)
            & c3_1(a1372) )
          | ~ hskp30 )
        & ( ! [X92] :
              ( ndr1_0
             => ( c0_1(X92)
                | c2_1(X92)
                | c3_1(X92) ) )
          | hskp6
          | ! [X93] :
              ( ndr1_0
             => ( c0_1(X93)
                | ~ c2_1(X93)
                | ~ c1_1(X93) ) ) )
        & ( ( ndr1_0
            & c3_1(a1325)
            & ~ c0_1(a1325)
            & c1_1(a1325) )
          | ~ hskp12 )
        & ( hskp9
          | hskp2
          | hskp30 )
        & ( ~ hskp0
          | ( ~ c1_1(a1306)
            & ~ c2_1(a1306)
            & ~ c0_1(a1306)
            & ndr1_0 ) )
        & ( hskp29
          | hskp26
          | hskp20 )
        & ( ~ hskp15
          | ( ndr1_0
            & c1_1(a1331)
            & ~ c3_1(a1331)
            & c2_1(a1331) ) )
        & ( ( ~ c2_1(a1316)
            & ~ c3_1(a1316)
            & ndr1_0
            & ~ c0_1(a1316) )
          | ~ hskp7 )
        & ( ( c0_1(a1324)
            & c3_1(a1324)
            & ndr1_0
            & ~ c1_1(a1324) )
          | ~ hskp11 )
        & ( ~ hskp27
          | ( c0_1(a1307)
            & c1_1(a1307)
            & ndr1_0
            & c2_1(a1307) ) )
        & ( hskp9
          | hskp6
          | ! [X68] :
              ( ndr1_0
             => ( ~ c2_1(X68)
                | c3_1(X68)
                | ~ c0_1(X68) ) ) )
        & ( ( c0_1(a1394)
            & ~ c3_1(a1394)
            & ndr1_0
            & c2_1(a1394) )
          | ~ hskp25 )
        & ( ( ndr1_0
            & c2_1(a1334)
            & ~ c0_1(a1334)
            & c3_1(a1334) )
          | ~ hskp17 )
        & ( ! [X2] :
              ( ndr1_0
             => ( c1_1(X2)
                | ~ c3_1(X2)
                | ~ c2_1(X2) ) )
          | hskp22
          | hskp2 )
        & ( hskp2
          | hskp1
          | ! [X97] :
              ( ndr1_0
             => ( c1_1(X97)
                | ~ c3_1(X97)
                | c2_1(X97) ) ) )
        & ( hskp1
          | ! [X58] :
              ( ndr1_0
             => ( c1_1(X58)
                | ~ c2_1(X58)
                | c3_1(X58) ) )
          | hskp10 )
        & ( ! [X15] :
              ( ndr1_0
             => ( ~ c1_1(X15)
                | ~ c3_1(X15)
                | ~ c2_1(X15) ) )
          | hskp29
          | ! [X14] :
              ( ndr1_0
             => ( ~ c0_1(X14)
                | ~ c1_1(X14)
                | ~ c3_1(X14) ) ) )
        & ( ! [X46] :
              ( ndr1_0
             => ( c2_1(X46)
                | c0_1(X46)
                | ~ c3_1(X46) ) )
          | hskp13
          | ! [X45] :
              ( ndr1_0
             => ( ~ c0_1(X45)
                | c1_1(X45)
                | c3_1(X45) ) ) )
        & ( ( ndr1_0
            & c1_1(a1339)
            & ~ c2_1(a1339)
            & ~ c0_1(a1339) )
          | ~ hskp18 )
        & ( hskp27
          | ! [X70] :
              ( ndr1_0
             => ( c3_1(X70)
                | ~ c1_1(X70)
                | ~ c0_1(X70) ) )
          | ! [X69] :
              ( ndr1_0
             => ( ~ c0_1(X69)
                | c3_1(X69)
                | c2_1(X69) ) ) )
        & ( ~ hskp8
          | ( c2_1(a1319)
            & ~ c3_1(a1319)
            & ~ c0_1(a1319)
            & ndr1_0 ) )
        & ( hskp9
          | ! [X21] :
              ( ndr1_0
             => ( c0_1(X21)
                | c2_1(X21)
                | c3_1(X21) ) )
          | ! [X20] :
              ( ndr1_0
             => ( c3_1(X20)
                | c2_1(X20)
                | ~ c0_1(X20) ) ) )
        & ( hskp18
          | ! [X102] :
              ( ndr1_0
             => ( c3_1(X102)
                | ~ c0_1(X102)
                | ~ c2_1(X102) ) )
          | hskp30 )
        & ( hskp11
          | ! [X94] :
              ( ndr1_0
             => ( ~ c1_1(X94)
                | ~ c3_1(X94)
                | ~ c2_1(X94) ) )
          | hskp22 )
        & ( hskp7
          | hskp4
          | hskp3 )
        & ( ! [X36] :
              ( ndr1_0
             => ( ~ c1_1(X36)
                | ~ c0_1(X36)
                | c2_1(X36) ) )
          | ! [X37] :
              ( ndr1_0
             => ( ~ c3_1(X37)
                | ~ c2_1(X37)
                | c0_1(X37) ) )
          | hskp0 )
        & ( hskp7
          | ! [X35] :
              ( ndr1_0
             => ( ~ c3_1(X35)
                | c2_1(X35)
                | ~ c1_1(X35) ) )
          | ! [X34] :
              ( ndr1_0
             => ( c1_1(X34)
                | ~ c3_1(X34)
                | c0_1(X34) ) ) )
        & ( hskp25
          | hskp28
          | hskp14 )
        & ( ( ~ c1_1(a1311)
            & c0_1(a1311)
            & ndr1_0
            & c2_1(a1311) )
          | ~ hskp3 )
        & ( hskp23
          | hskp0
          | hskp6 )
        & ( hskp20
          | ! [X82] :
              ( ndr1_0
             => ( ~ c3_1(X82)
                | c1_1(X82)
                | ~ c0_1(X82) ) )
          | ! [X83] :
              ( ndr1_0
             => ( ~ c1_1(X83)
                | c2_1(X83)
                | c3_1(X83) ) ) )
        & ( ! [X30] :
              ( ndr1_0
             => ( ~ c1_1(X30)
                | c0_1(X30)
                | c2_1(X30) ) )
          | ! [X29] :
              ( ndr1_0
             => ( c2_1(X29)
                | c1_1(X29)
                | c3_1(X29) ) )
          | hskp11 )
        & ( hskp13
          | hskp14
          | ! [X13] :
              ( ndr1_0
             => ( c3_1(X13)
                | ~ c1_1(X13)
                | c2_1(X13) ) ) )
        & ( hskp11
          | ! [X27] :
              ( ndr1_0
             => ( c3_1(X27)
                | ~ c2_1(X27)
                | ~ c0_1(X27) ) )
          | ! [X28] :
              ( ndr1_0
             => ( ~ c3_1(X28)
                | ~ c1_1(X28)
                | ~ c0_1(X28) ) ) ) ),
    inference(rectify,[],[f2]) ).

fof(f2,negated_conjecture,
    ~ ~ ( ( ! [X16] :
              ( ndr1_0
             => ( c2_1(X16)
                | c0_1(X16)
                | c3_1(X16) ) )
          | hskp6
          | ! [X17] :
              ( ndr1_0
             => ( ~ c2_1(X17)
                | c0_1(X17)
                | c3_1(X17) ) ) )
        & ( hskp2
          | hskp22
          | ! [X81] :
              ( ndr1_0
             => ( c1_1(X81)
                | ~ c2_1(X81)
                | ~ c3_1(X81) ) ) )
        & ( hskp5
          | ! [X96] :
              ( ndr1_0
             => ( ~ c3_1(X96)
                | ~ c1_1(X96)
                | ~ c0_1(X96) ) )
          | ! [X95] :
              ( ndr1_0
             => ( c3_1(X95)
                | ~ c1_1(X95)
                | ~ c2_1(X95) ) ) )
        & ( hskp25
          | hskp28
          | hskp14 )
        & ( ! [X82] :
              ( ndr1_0
             => ( ~ c2_1(X82)
                | ~ c3_1(X82)
                | c1_1(X82) ) )
          | hskp23
          | hskp22 )
        & ( hskp20
          | ! [X89] :
              ( ndr1_0
             => ( ~ c1_1(X89)
                | ~ c0_1(X89)
                | c3_1(X89) ) )
          | hskp24 )
        & ( ! [X47] :
              ( ndr1_0
             => ( ~ c2_1(X47)
                | c0_1(X47)
                | c3_1(X47) ) )
          | ! [X49] :
              ( ndr1_0
             => ( c1_1(X49)
                | ~ c0_1(X49)
                | ~ c2_1(X49) ) )
          | ! [X48] :
              ( ndr1_0
             => ( c0_1(X48)
                | ~ c2_1(X48)
                | ~ c3_1(X48) ) ) )
        & ( ! [X12] :
              ( ndr1_0
             => ( ~ c2_1(X12)
                | c1_1(X12)
                | c0_1(X12) ) )
          | hskp4
          | hskp2 )
        & ( ! [X42] :
              ( ndr1_0
             => ( c0_1(X42)
                | c3_1(X42)
                | ~ c1_1(X42) ) )
          | ! [X43] :
              ( ndr1_0
             => ( ~ c0_1(X43)
                | ~ c2_1(X43)
                | ~ c1_1(X43) ) )
          | hskp12 )
        & ( hskp26
          | hskp12 )
        & ( ! [X87] :
              ( ndr1_0
             => ( ~ c1_1(X87)
                | c3_1(X87)
                | c2_1(X87) ) )
          | hskp13
          | hskp14 )
        & ( hskp7
          | hskp4
          | hskp3 )
        & ( ( ndr1_0
            & ~ c1_1(a1308)
            & c3_1(a1308)
            & ~ c2_1(a1308) )
          | ~ hskp1 )
        & ( hskp29
          | ! [X100] :
              ( ndr1_0
             => ( ~ c0_1(X100)
                | ~ c1_1(X100)
                | ~ c3_1(X100) ) )
          | ! [X101] :
              ( ndr1_0
             => ( ~ c2_1(X101)
                | ~ c3_1(X101)
                | ~ c1_1(X101) ) ) )
        & ( ( ~ c3_1(a1370)
            & ndr1_0
            & c2_1(a1370)
            & ~ c1_1(a1370) )
          | ~ hskp24 )
        & ( hskp18
          | hskp20 )
        & ( ! [X32] :
              ( ndr1_0
             => ( ~ c1_1(X32)
                | c0_1(X32)
                | c2_1(X32) ) )
          | hskp12
          | ! [X33] :
              ( ndr1_0
             => ( ~ c3_1(X33)
                | ~ c1_1(X33)
                | ~ c2_1(X33) ) ) )
        & ( ! [X55] :
              ( ndr1_0
             => ( ~ c3_1(X55)
                | c2_1(X55)
                | ~ c0_1(X55) ) )
          | hskp28
          | ! [X54] :
              ( ndr1_0
             => ( c0_1(X54)
                | ~ c2_1(X54)
                | ~ c1_1(X54) ) ) )
        & ( ! [X23] :
              ( ndr1_0
             => ( c2_1(X23)
                | ~ c0_1(X23)
                | c3_1(X23) ) )
          | hskp9
          | ! [X22] :
              ( ndr1_0
             => ( c2_1(X22)
                | c0_1(X22)
                | c3_1(X22) ) ) )
        & ( hskp1
          | hskp23
          | hskp2 )
        & ( ( ndr1_0
            & c1_1(a1339)
            & ~ c2_1(a1339)
            & ~ c0_1(a1339) )
          | ~ hskp18 )
        & ( hskp23
          | hskp0
          | hskp6 )
        & ( hskp14
          | ! [X88] :
              ( ndr1_0
             => ( ~ c1_1(X88)
                | c3_1(X88)
                | ~ c0_1(X88) ) )
          | hskp8 )
        & ( ~ hskp27
          | ( c0_1(a1307)
            & c1_1(a1307)
            & ndr1_0
            & c2_1(a1307) ) )
        & ( hskp7
          | hskp21
          | hskp3 )
        & ( ~ hskp8
          | ( c2_1(a1319)
            & ~ c3_1(a1319)
            & ~ c0_1(a1319)
            & ndr1_0 ) )
        & ( ! [X25] :
              ( ndr1_0
             => ( c0_1(X25)
                | ~ c1_1(X25)
                | c2_1(X25) ) )
          | ! [X26] :
              ( ndr1_0
             => ( ~ c3_1(X26)
                | c2_1(X26)
                | c0_1(X26) ) )
          | ! [X27] :
              ( ndr1_0
             => ( ~ c1_1(X27)
                | c2_1(X27)
                | c3_1(X27) ) ) )
        & ( ( c1_1(a1314)
            & ~ c3_1(a1314)
            & ndr1_0
            & ~ c0_1(a1314) )
          | ~ hskp5 )
        & ( ! [X5] :
              ( ndr1_0
             => ( c1_1(X5)
                | c2_1(X5)
                | c0_1(X5) ) )
          | hskp27
          | hskp1 )
        & ( ! [X90] :
              ( ndr1_0
             => ( c3_1(X90)
                | ~ c0_1(X90)
                | ~ c2_1(X90) ) )
          | ! [X91] :
              ( ndr1_0
             => ( ~ c1_1(X91)
                | ~ c3_1(X91)
                | ~ c0_1(X91) ) )
          | hskp11 )
        & ( hskp11
          | ! [X31] :
              ( ndr1_0
             => ( c1_1(X31)
                | c2_1(X31)
                | c3_1(X31) ) )
          | ! [X30] :
              ( ndr1_0
             => ( ~ c1_1(X30)
                | c0_1(X30)
                | c2_1(X30) ) ) )
        & ( ( ~ c3_1(a1411)
            & ndr1_0
            & c0_1(a1411)
            & ~ c2_1(a1411) )
          | ~ hskp26 )
        & ( hskp20
          | ! [X74] :
              ( ndr1_0
             => ( c1_1(X74)
                | ~ c2_1(X74)
                | ~ c0_1(X74) ) )
          | hskp2 )
        & ( ! [X60] :
              ( ndr1_0
             => ( c1_1(X60)
                | c3_1(X60)
                | ~ c0_1(X60) ) )
          | hskp15
          | ! [X59] :
              ( ndr1_0
             => ( ~ c0_1(X59)
                | c1_1(X59)
                | c2_1(X59) ) ) )
        & ( hskp7
          | ! [X14] :
              ( ndr1_0
             => ( c1_1(X14)
                | ~ c3_1(X14)
                | c0_1(X14) ) )
          | ! [X15] :
              ( ndr1_0
             => ( c2_1(X15)
                | ~ c3_1(X15)
                | ~ c1_1(X15) ) ) )
        & ( ! [X58] :
              ( ndr1_0
             => ( ~ c0_1(X58)
                | ~ c1_1(X58)
                | c2_1(X58) ) )
          | hskp0
          | ! [X57] :
              ( ndr1_0
             => ( ~ c2_1(X57)
                | ~ c3_1(X57)
                | c0_1(X57) ) ) )
        & ( ! [X75] :
              ( ndr1_0
             => ( ~ c0_1(X75)
                | ~ c2_1(X75)
                | c1_1(X75) ) )
          | hskp0
          | hskp12 )
        & ( ( ndr1_0
            & c3_1(a1325)
            & ~ c0_1(a1325)
            & c1_1(a1325) )
          | ~ hskp12 )
        & ( hskp18
          | hskp5
          | hskp16 )
        & ( ( c3_1(a1315)
            & ndr1_0
            & ~ c1_1(a1315)
            & ~ c0_1(a1315) )
          | ~ hskp6 )
        & ( ! [X40] :
              ( ndr1_0
             => ( c3_1(X40)
                | c2_1(X40)
                | c1_1(X40) ) )
          | ! [X39] :
              ( ndr1_0
             => ( c3_1(X39)
                | ~ c1_1(X39)
                | c0_1(X39) ) )
          | ! [X41] :
              ( ndr1_0
             => ( ~ c1_1(X41)
                | c3_1(X41)
                | ~ c0_1(X41) ) ) )
        & ( ( ~ c2_1(a1321)
            & ndr1_0
            & c0_1(a1321)
            & c3_1(a1321) )
          | ~ hskp10 )
        & ( hskp29
          | hskp26
          | hskp20 )
        & ( ! [X4] :
              ( ndr1_0
             => ( ~ c0_1(X4)
                | ~ c1_1(X4)
                | c3_1(X4) ) )
          | ! [X2] :
              ( ndr1_0
             => ( c2_1(X2)
                | c0_1(X2)
                | c1_1(X2) ) )
          | ! [X3] :
              ( ndr1_0
             => ( c2_1(X3)
                | ~ c3_1(X3)
                | ~ c1_1(X3) ) ) )
        & ( hskp13
          | ! [X38] :
              ( ndr1_0
             => ( c1_1(X38)
                | ~ c0_1(X38)
                | c3_1(X38) ) )
          | ! [X37] :
              ( ndr1_0
             => ( ~ c3_1(X37)
                | c0_1(X37)
                | c2_1(X37) ) ) )
        & ( ( ndr1_0
            & c2_1(a1334)
            & ~ c0_1(a1334)
            & c3_1(a1334) )
          | ~ hskp17 )
        & ( ~ hskp22
          | ( c0_1(a1356)
            & ~ c3_1(a1356)
            & ndr1_0
            & c1_1(a1356) ) )
        & ( ! [X29] :
              ( ndr1_0
             => ( c0_1(X29)
                | ~ c1_1(X29)
                | c3_1(X29) ) )
          | hskp9
          | ! [X28] :
              ( ndr1_0
             => ( c2_1(X28)
                | c0_1(X28)
                | ~ c1_1(X28) ) ) )
        & ( ! [X35] :
              ( ndr1_0
             => ( ~ c3_1(X35)
                | c0_1(X35)
                | ~ c1_1(X35) ) )
          | ! [X36] :
              ( ndr1_0
             => ( ~ c0_1(X36)
                | c1_1(X36)
                | ~ c3_1(X36) ) )
          | ! [X34] :
              ( ndr1_0
             => ( c2_1(X34)
                | c0_1(X34)
                | ~ c3_1(X34) ) ) )
        & ( hskp16
          | hskp17
          | ! [X56] :
              ( ndr1_0
             => ( ~ c1_1(X56)
                | c0_1(X56)
                | ~ c2_1(X56) ) ) )
        & ( hskp14
          | hskp9
          | hskp19 )
        & ( hskp1
          | hskp28
          | hskp7 )
        & ( ( c0_1(a1330)
            & ~ c1_1(a1330)
            & ~ c2_1(a1330)
            & ndr1_0 )
          | ~ hskp14 )
        & ( ! [X50] :
              ( ndr1_0
             => ( c3_1(X50)
                | c0_1(X50)
                | ~ c2_1(X50) ) )
          | ! [X52] :
              ( ndr1_0
             => ( ~ c0_1(X52)
                | ~ c3_1(X52)
                | ~ c1_1(X52) ) )
          | ! [X51] :
              ( ndr1_0
             => ( ~ c2_1(X51)
                | ~ c3_1(X51)
                | c0_1(X51) ) ) )
        & ( ! [X45] :
              ( ndr1_0
             => ( ~ c2_1(X45)
                | c0_1(X45)
                | c3_1(X45) ) )
          | hskp4
          | ! [X46] :
              ( ndr1_0
             => ( ~ c1_1(X46)
                | ~ c3_1(X46)
                | c0_1(X46) ) ) )
        & ( hskp1
          | hskp10
          | ! [X73] :
              ( ndr1_0
             => ( ~ c2_1(X73)
                | c1_1(X73)
                | c3_1(X73) ) ) )
        & ( ! [X70] :
              ( ndr1_0
             => ( ~ c1_1(X70)
                | ~ c2_1(X70)
                | c3_1(X70) ) )
          | ! [X69] :
              ( ndr1_0
             => ( ~ c1_1(X69)
                | ~ c0_1(X69)
                | c3_1(X69) ) )
          | ! [X68] :
              ( ndr1_0
             => ( c2_1(X68)
                | c1_1(X68)
                | ~ c3_1(X68) ) ) )
        & ( ( c0_1(a1324)
            & c3_1(a1324)
            & ndr1_0
            & ~ c1_1(a1324) )
          | ~ hskp11 )
        & ( ( ~ c0_1(a1359)
            & ndr1_0
            & ~ c1_1(a1359)
            & ~ c3_1(a1359) )
          | ~ hskp23 )
        & ( hskp20
          | hskp6
          | hskp25 )
        & ( ~ hskp29
          | ( ndr1_0
            & c3_1(a1338)
            & c1_1(a1338)
            & c2_1(a1338) ) )
        & ( ! [X62] :
              ( ndr1_0
             => ( ~ c0_1(X62)
                | ~ c2_1(X62)
                | c3_1(X62) ) )
          | ! [X61] :
              ( ndr1_0
             => ( c2_1(X61)
                | ~ c0_1(X61)
                | c1_1(X61) ) )
          | hskp14 )
        & ( ~ hskp0
          | ( ~ c1_1(a1306)
            & ~ c2_1(a1306)
            & ~ c0_1(a1306)
            & ndr1_0 ) )
        & ( hskp1
          | hskp4
          | hskp14 )
        & ( ( ~ c1_1(a1311)
            & c0_1(a1311)
            & ndr1_0
            & c2_1(a1311) )
          | ~ hskp3 )
        & ( ( c0_1(a1372)
            & ndr1_0
            & c1_1(a1372)
            & c3_1(a1372) )
          | ~ hskp30 )
        & ( ( c2_1(a1320)
            & ~ c1_1(a1320)
            & ~ c0_1(a1320)
            & ndr1_0 )
          | ~ hskp9 )
        & ( hskp9
          | hskp2
          | hskp30 )
        & ( hskp17
          | hskp4
          | ! [X85] :
              ( ndr1_0
             => ( c2_1(X85)
                | c3_1(X85)
                | ~ c0_1(X85) ) ) )
        & ( ( c3_1(a1328)
            & ndr1_0
            & c2_1(a1328)
            & c0_1(a1328) )
          | ~ hskp28 )
        & ( ! [X44] :
              ( ndr1_0
             => ( c0_1(X44)
                | c3_1(X44)
                | ~ c1_1(X44) ) )
          | hskp28 )
        & ( ( c0_1(a1394)
            & ~ c3_1(a1394)
            & ndr1_0
            & c2_1(a1394) )
          | ~ hskp25 )
        & ( ! [X79] :
              ( ndr1_0
             => ( c1_1(X79)
                | ~ c3_1(X79)
                | ~ c2_1(X79) ) )
          | ! [X80] :
              ( ndr1_0
             => ( ~ c3_1(X80)
                | c2_1(X80)
                | ~ c0_1(X80) ) )
          | hskp27 )
        & ( hskp6
          | hskp9
          | ! [X94] :
              ( ndr1_0
             => ( c3_1(X94)
                | ~ c2_1(X94)
                | ~ c0_1(X94) ) ) )
        & ( ! [X83] :
              ( ndr1_0
             => ( c3_1(X83)
                | c2_1(X83)
                | ~ c0_1(X83) ) )
          | hskp27
          | ! [X84] :
              ( ndr1_0
             => ( ~ c1_1(X84)
                | ~ c0_1(X84)
                | c3_1(X84) ) ) )
        & ( hskp29
          | hskp18
          | ! [X63] :
              ( ndr1_0
             => ( c2_1(X63)
                | ~ c0_1(X63)
                | c1_1(X63) ) ) )
        & ( ( ~ c3_1(a1333)
            & ~ c2_1(a1333)
            & ndr1_0
            & c1_1(a1333) )
          | ~ hskp16 )
        & ( ! [X98] :
              ( ndr1_0
             => ( ~ c3_1(X98)
                | ~ c1_1(X98)
                | ~ c2_1(X98) ) )
          | hskp17
          | ! [X97] :
              ( ndr1_0
             => ( ~ c0_1(X97)
                | ~ c2_1(X97)
                | ~ c1_1(X97) ) ) )
        & ( ! [X21] :
              ( ndr1_0
             => ( ~ c2_1(X21)
                | ~ c3_1(X21)
                | c0_1(X21) ) )
          | ! [X20] :
              ( ndr1_0
             => ( c3_1(X20)
                | c0_1(X20)
                | c2_1(X20) ) )
          | hskp8 )
        & ( hskp14
          | hskp15
          | ! [X53] :
              ( ndr1_0
             => ( ~ c2_1(X53)
                | c0_1(X53)
                | c3_1(X53) ) ) )
        & ( hskp8
          | hskp7
          | ! [X64] :
              ( ndr1_0
             => ( ~ c0_1(X64)
                | c2_1(X64)
                | c1_1(X64) ) ) )
        & ( ! [X76] :
              ( ndr1_0
             => ( ~ c0_1(X76)
                | c1_1(X76)
                | ~ c2_1(X76) ) )
          | hskp21
          | hskp0 )
        & ( hskp16
          | hskp14
          | ! [X86] :
              ( ndr1_0
             => ( c3_1(X86)
                | ~ c1_1(X86)
                | c2_1(X86) ) ) )
        & ( hskp1
          | ! [X6] :
              ( ndr1_0
             => ( c1_1(X6)
                | c0_1(X6)
                | c2_1(X6) ) )
          | hskp2 )
        & ( ( ~ c1_1(a1326)
            & ~ c2_1(a1326)
            & ~ c3_1(a1326)
            & ndr1_0 )
          | ~ hskp13 )
        & ( ( c3_1(a1309)
            & ~ c2_1(a1309)
            & ndr1_0
            & c1_1(a1309) )
          | ~ hskp2 )
        & ( ! [X24] :
              ( ndr1_0
             => ( c2_1(X24)
                | c3_1(X24)
                | c0_1(X24) ) )
          | hskp6
          | hskp10 )
        & ( ! [X77] :
              ( ndr1_0
             => ( c1_1(X77)
                | ~ c3_1(X77)
                | ~ c0_1(X77) ) )
          | hskp20
          | ! [X78] :
              ( ndr1_0
             => ( c2_1(X78)
                | ~ c1_1(X78)
                | c3_1(X78) ) ) )
        & ( ~ hskp15
          | ( ndr1_0
            & c1_1(a1331)
            & ~ c3_1(a1331)
            & c2_1(a1331) ) )
        & ( ~ hskp4
          | ( ~ c3_1(a1312)
            & ndr1_0
            & c0_1(a1312)
            & ~ c1_1(a1312) ) )
        & ( ! [X67] :
              ( ndr1_0
             => ( ~ c0_1(X67)
                | c3_1(X67)
                | c2_1(X67) ) )
          | ! [X66] :
              ( ndr1_0
             => ( ~ c0_1(X66)
                | ~ c2_1(X66)
                | c1_1(X66) ) )
          | ! [X65] :
              ( ndr1_0
             => ( c2_1(X65)
                | c1_1(X65)
                | ~ c3_1(X65) ) ) )
        & ( hskp12
          | hskp14
          | ! [X93] :
              ( ndr1_0
             => ( ~ c0_1(X93)
                | c3_1(X93)
                | ~ c2_1(X93) ) ) )
        & ( hskp3
          | ! [X11] :
              ( ndr1_0
             => ( ~ c3_1(X11)
                | c2_1(X11)
                | ~ c0_1(X11) ) )
          | ! [X10] :
              ( ndr1_0
             => ( c3_1(X10)
                | c0_1(X10)
                | c1_1(X10) ) ) )
        & ( ( c0_1(a1344)
            & ndr1_0
            & c1_1(a1344)
            & ~ c2_1(a1344) )
          | ~ hskp19 )
        & ( ! [X1] :
              ( ndr1_0
             => ( ~ c2_1(X1)
                | c3_1(X1)
                | c1_1(X1) ) )
          | ! [X0] :
              ( ndr1_0
             => ( c0_1(X0)
                | c1_1(X0)
                | c2_1(X0) ) )
          | hskp0 )
        & ( ( ~ c2_1(a1352)
            & c3_1(a1352)
            & ~ c0_1(a1352)
            & ndr1_0 )
          | ~ hskp21 )
        & ( ( ~ c2_1(a1316)
            & ~ c3_1(a1316)
            & ndr1_0
            & ~ c0_1(a1316) )
          | ~ hskp7 )
        & ( ( ~ c0_1(a1348)
            & c1_1(a1348)
            & ndr1_0
            & c2_1(a1348) )
          | ~ hskp20 )
        & ( hskp14
          | hskp27
          | hskp18 )
        & ( ! [X18] :
              ( ndr1_0
             => ( c3_1(X18)
                | c0_1(X18)
                | c2_1(X18) ) )
          | ! [X19] :
              ( ndr1_0
             => ( ~ c2_1(X19)
                | ~ c1_1(X19)
                | c0_1(X19) ) )
          | hskp6 )
        & ( ! [X102] :
              ( ndr1_0
             => ( ~ c2_1(X102)
                | ~ c3_1(X102)
                | ~ c1_1(X102) ) )
          | hskp22
          | hskp11 )
        & ( hskp15
          | ! [X72] :
              ( ndr1_0
             => ( c1_1(X72)
                | c3_1(X72)
                | ~ c0_1(X72) ) )
          | hskp19 )
        & ( hskp5
          | ! [X13] :
              ( ndr1_0
             => ( c0_1(X13)
                | c1_1(X13)
                | ~ c2_1(X13) ) )
          | hskp6 )
        & ( hskp1
          | hskp2
          | ! [X71] :
              ( ndr1_0
             => ( ~ c3_1(X71)
                | c2_1(X71)
                | c1_1(X71) ) ) )
        & ( hskp13
          | ! [X99] :
              ( ndr1_0
             => ( ~ c2_1(X99)
                | ~ c0_1(X99)
                | ~ c1_1(X99) ) ) )
        & ( ! [X8] :
              ( ndr1_0
             => ( ~ c2_1(X8)
                | c1_1(X8)
                | c0_1(X8) ) )
          | ! [X7] :
              ( ndr1_0
             => ( c1_1(X7)
                | c0_1(X7)
                | c3_1(X7) ) )
          | ! [X9] :
              ( ndr1_0
             => ( ~ c2_1(X9)
                | c1_1(X9)
                | c3_1(X9) ) ) )
        & ( hskp30
          | ! [X92] :
              ( ndr1_0
             => ( ~ c0_1(X92)
                | c3_1(X92)
                | ~ c2_1(X92) ) )
          | hskp18 ) ),
    inference(negated_conjecture,[],[f1]) ).

fof(f1,conjecture,
    ~ ( ( ! [X16] :
            ( ndr1_0
           => ( c2_1(X16)
              | c0_1(X16)
              | c3_1(X16) ) )
        | hskp6
        | ! [X17] :
            ( ndr1_0
           => ( ~ c2_1(X17)
              | c0_1(X17)
              | c3_1(X17) ) ) )
      & ( hskp2
        | hskp22
        | ! [X81] :
            ( ndr1_0
           => ( c1_1(X81)
              | ~ c2_1(X81)
              | ~ c3_1(X81) ) ) )
      & ( hskp5
        | ! [X96] :
            ( ndr1_0
           => ( ~ c3_1(X96)
              | ~ c1_1(X96)
              | ~ c0_1(X96) ) )
        | ! [X95] :
            ( ndr1_0
           => ( c3_1(X95)
              | ~ c1_1(X95)
              | ~ c2_1(X95) ) ) )
      & ( hskp25
        | hskp28
        | hskp14 )
      & ( ! [X82] :
            ( ndr1_0
           => ( ~ c2_1(X82)
              | ~ c3_1(X82)
              | c1_1(X82) ) )
        | hskp23
        | hskp22 )
      & ( hskp20
        | ! [X89] :
            ( ndr1_0
           => ( ~ c1_1(X89)
              | ~ c0_1(X89)
              | c3_1(X89) ) )
        | hskp24 )
      & ( ! [X47] :
            ( ndr1_0
           => ( ~ c2_1(X47)
              | c0_1(X47)
              | c3_1(X47) ) )
        | ! [X49] :
            ( ndr1_0
           => ( c1_1(X49)
              | ~ c0_1(X49)
              | ~ c2_1(X49) ) )
        | ! [X48] :
            ( ndr1_0
           => ( c0_1(X48)
              | ~ c2_1(X48)
              | ~ c3_1(X48) ) ) )
      & ( ! [X12] :
            ( ndr1_0
           => ( ~ c2_1(X12)
              | c1_1(X12)
              | c0_1(X12) ) )
        | hskp4
        | hskp2 )
      & ( ! [X42] :
            ( ndr1_0
           => ( c0_1(X42)
              | c3_1(X42)
              | ~ c1_1(X42) ) )
        | ! [X43] :
            ( ndr1_0
           => ( ~ c0_1(X43)
              | ~ c2_1(X43)
              | ~ c1_1(X43) ) )
        | hskp12 )
      & ( hskp26
        | hskp12 )
      & ( ! [X87] :
            ( ndr1_0
           => ( ~ c1_1(X87)
              | c3_1(X87)
              | c2_1(X87) ) )
        | hskp13
        | hskp14 )
      & ( hskp7
        | hskp4
        | hskp3 )
      & ( ( ndr1_0
          & ~ c1_1(a1308)
          & c3_1(a1308)
          & ~ c2_1(a1308) )
        | ~ hskp1 )
      & ( hskp29
        | ! [X100] :
            ( ndr1_0
           => ( ~ c0_1(X100)
              | ~ c1_1(X100)
              | ~ c3_1(X100) ) )
        | ! [X101] :
            ( ndr1_0
           => ( ~ c2_1(X101)
              | ~ c3_1(X101)
              | ~ c1_1(X101) ) ) )
      & ( ( ~ c3_1(a1370)
          & ndr1_0
          & c2_1(a1370)
          & ~ c1_1(a1370) )
        | ~ hskp24 )
      & ( hskp18
        | hskp20 )
      & ( ! [X32] :
            ( ndr1_0
           => ( ~ c1_1(X32)
              | c0_1(X32)
              | c2_1(X32) ) )
        | hskp12
        | ! [X33] :
            ( ndr1_0
           => ( ~ c3_1(X33)
              | ~ c1_1(X33)
              | ~ c2_1(X33) ) ) )
      & ( ! [X55] :
            ( ndr1_0
           => ( ~ c3_1(X55)
              | c2_1(X55)
              | ~ c0_1(X55) ) )
        | hskp28
        | ! [X54] :
            ( ndr1_0
           => ( c0_1(X54)
              | ~ c2_1(X54)
              | ~ c1_1(X54) ) ) )
      & ( ! [X23] :
            ( ndr1_0
           => ( c2_1(X23)
              | ~ c0_1(X23)
              | c3_1(X23) ) )
        | hskp9
        | ! [X22] :
            ( ndr1_0
           => ( c2_1(X22)
              | c0_1(X22)
              | c3_1(X22) ) ) )
      & ( hskp1
        | hskp23
        | hskp2 )
      & ( ( ndr1_0
          & c1_1(a1339)
          & ~ c2_1(a1339)
          & ~ c0_1(a1339) )
        | ~ hskp18 )
      & ( hskp23
        | hskp0
        | hskp6 )
      & ( hskp14
        | ! [X88] :
            ( ndr1_0
           => ( ~ c1_1(X88)
              | c3_1(X88)
              | ~ c0_1(X88) ) )
        | hskp8 )
      & ( ~ hskp27
        | ( c0_1(a1307)
          & c1_1(a1307)
          & ndr1_0
          & c2_1(a1307) ) )
      & ( hskp7
        | hskp21
        | hskp3 )
      & ( ~ hskp8
        | ( c2_1(a1319)
          & ~ c3_1(a1319)
          & ~ c0_1(a1319)
          & ndr1_0 ) )
      & ( ! [X25] :
            ( ndr1_0
           => ( c0_1(X25)
              | ~ c1_1(X25)
              | c2_1(X25) ) )
        | ! [X26] :
            ( ndr1_0
           => ( ~ c3_1(X26)
              | c2_1(X26)
              | c0_1(X26) ) )
        | ! [X27] :
            ( ndr1_0
           => ( ~ c1_1(X27)
              | c2_1(X27)
              | c3_1(X27) ) ) )
      & ( ( c1_1(a1314)
          & ~ c3_1(a1314)
          & ndr1_0
          & ~ c0_1(a1314) )
        | ~ hskp5 )
      & ( ! [X5] :
            ( ndr1_0
           => ( c1_1(X5)
              | c2_1(X5)
              | c0_1(X5) ) )
        | hskp27
        | hskp1 )
      & ( ! [X90] :
            ( ndr1_0
           => ( c3_1(X90)
              | ~ c0_1(X90)
              | ~ c2_1(X90) ) )
        | ! [X91] :
            ( ndr1_0
           => ( ~ c1_1(X91)
              | ~ c3_1(X91)
              | ~ c0_1(X91) ) )
        | hskp11 )
      & ( hskp11
        | ! [X31] :
            ( ndr1_0
           => ( c1_1(X31)
              | c2_1(X31)
              | c3_1(X31) ) )
        | ! [X30] :
            ( ndr1_0
           => ( ~ c1_1(X30)
              | c0_1(X30)
              | c2_1(X30) ) ) )
      & ( ( ~ c3_1(a1411)
          & ndr1_0
          & c0_1(a1411)
          & ~ c2_1(a1411) )
        | ~ hskp26 )
      & ( hskp20
        | ! [X74] :
            ( ndr1_0
           => ( c1_1(X74)
              | ~ c2_1(X74)
              | ~ c0_1(X74) ) )
        | hskp2 )
      & ( ! [X60] :
            ( ndr1_0
           => ( c1_1(X60)
              | c3_1(X60)
              | ~ c0_1(X60) ) )
        | hskp15
        | ! [X59] :
            ( ndr1_0
           => ( ~ c0_1(X59)
              | c1_1(X59)
              | c2_1(X59) ) ) )
      & ( hskp7
        | ! [X14] :
            ( ndr1_0
           => ( c1_1(X14)
              | ~ c3_1(X14)
              | c0_1(X14) ) )
        | ! [X15] :
            ( ndr1_0
           => ( c2_1(X15)
              | ~ c3_1(X15)
              | ~ c1_1(X15) ) ) )
      & ( ! [X58] :
            ( ndr1_0
           => ( ~ c0_1(X58)
              | ~ c1_1(X58)
              | c2_1(X58) ) )
        | hskp0
        | ! [X57] :
            ( ndr1_0
           => ( ~ c2_1(X57)
              | ~ c3_1(X57)
              | c0_1(X57) ) ) )
      & ( ! [X75] :
            ( ndr1_0
           => ( ~ c0_1(X75)
              | ~ c2_1(X75)
              | c1_1(X75) ) )
        | hskp0
        | hskp12 )
      & ( ( ndr1_0
          & c3_1(a1325)
          & ~ c0_1(a1325)
          & c1_1(a1325) )
        | ~ hskp12 )
      & ( hskp18
        | hskp5
        | hskp16 )
      & ( ( c3_1(a1315)
          & ndr1_0
          & ~ c1_1(a1315)
          & ~ c0_1(a1315) )
        | ~ hskp6 )
      & ( ! [X40] :
            ( ndr1_0
           => ( c3_1(X40)
              | c2_1(X40)
              | c1_1(X40) ) )
        | ! [X39] :
            ( ndr1_0
           => ( c3_1(X39)
              | ~ c1_1(X39)
              | c0_1(X39) ) )
        | ! [X41] :
            ( ndr1_0
           => ( ~ c1_1(X41)
              | c3_1(X41)
              | ~ c0_1(X41) ) ) )
      & ( ( ~ c2_1(a1321)
          & ndr1_0
          & c0_1(a1321)
          & c3_1(a1321) )
        | ~ hskp10 )
      & ( hskp29
        | hskp26
        | hskp20 )
      & ( ! [X4] :
            ( ndr1_0
           => ( ~ c0_1(X4)
              | ~ c1_1(X4)
              | c3_1(X4) ) )
        | ! [X2] :
            ( ndr1_0
           => ( c2_1(X2)
              | c0_1(X2)
              | c1_1(X2) ) )
        | ! [X3] :
            ( ndr1_0
           => ( c2_1(X3)
              | ~ c3_1(X3)
              | ~ c1_1(X3) ) ) )
      & ( hskp13
        | ! [X38] :
            ( ndr1_0
           => ( c1_1(X38)
              | ~ c0_1(X38)
              | c3_1(X38) ) )
        | ! [X37] :
            ( ndr1_0
           => ( ~ c3_1(X37)
              | c0_1(X37)
              | c2_1(X37) ) ) )
      & ( ( ndr1_0
          & c2_1(a1334)
          & ~ c0_1(a1334)
          & c3_1(a1334) )
        | ~ hskp17 )
      & ( ~ hskp22
        | ( c0_1(a1356)
          & ~ c3_1(a1356)
          & ndr1_0
          & c1_1(a1356) ) )
      & ( ! [X29] :
            ( ndr1_0
           => ( c0_1(X29)
              | ~ c1_1(X29)
              | c3_1(X29) ) )
        | hskp9
        | ! [X28] :
            ( ndr1_0
           => ( c2_1(X28)
              | c0_1(X28)
              | ~ c1_1(X28) ) ) )
      & ( ! [X35] :
            ( ndr1_0
           => ( ~ c3_1(X35)
              | c0_1(X35)
              | ~ c1_1(X35) ) )
        | ! [X36] :
            ( ndr1_0
           => ( ~ c0_1(X36)
              | c1_1(X36)
              | ~ c3_1(X36) ) )
        | ! [X34] :
            ( ndr1_0
           => ( c2_1(X34)
              | c0_1(X34)
              | ~ c3_1(X34) ) ) )
      & ( hskp16
        | hskp17
        | ! [X56] :
            ( ndr1_0
           => ( ~ c1_1(X56)
              | c0_1(X56)
              | ~ c2_1(X56) ) ) )
      & ( hskp14
        | hskp9
        | hskp19 )
      & ( hskp1
        | hskp28
        | hskp7 )
      & ( ( c0_1(a1330)
          & ~ c1_1(a1330)
          & ~ c2_1(a1330)
          & ndr1_0 )
        | ~ hskp14 )
      & ( ! [X50] :
            ( ndr1_0
           => ( c3_1(X50)
              | c0_1(X50)
              | ~ c2_1(X50) ) )
        | ! [X52] :
            ( ndr1_0
           => ( ~ c0_1(X52)
              | ~ c3_1(X52)
              | ~ c1_1(X52) ) )
        | ! [X51] :
            ( ndr1_0
           => ( ~ c2_1(X51)
              | ~ c3_1(X51)
              | c0_1(X51) ) ) )
      & ( ! [X45] :
            ( ndr1_0
           => ( ~ c2_1(X45)
              | c0_1(X45)
              | c3_1(X45) ) )
        | hskp4
        | ! [X46] :
            ( ndr1_0
           => ( ~ c1_1(X46)
              | ~ c3_1(X46)
              | c0_1(X46) ) ) )
      & ( hskp1
        | hskp10
        | ! [X73] :
            ( ndr1_0
           => ( ~ c2_1(X73)
              | c1_1(X73)
              | c3_1(X73) ) ) )
      & ( ! [X70] :
            ( ndr1_0
           => ( ~ c1_1(X70)
              | ~ c2_1(X70)
              | c3_1(X70) ) )
        | ! [X69] :
            ( ndr1_0
           => ( ~ c1_1(X69)
              | ~ c0_1(X69)
              | c3_1(X69) ) )
        | ! [X68] :
            ( ndr1_0
           => ( c2_1(X68)
              | c1_1(X68)
              | ~ c3_1(X68) ) ) )
      & ( ( c0_1(a1324)
          & c3_1(a1324)
          & ndr1_0
          & ~ c1_1(a1324) )
        | ~ hskp11 )
      & ( ( ~ c0_1(a1359)
          & ndr1_0
          & ~ c1_1(a1359)
          & ~ c3_1(a1359) )
        | ~ hskp23 )
      & ( hskp20
        | hskp6
        | hskp25 )
      & ( ~ hskp29
        | ( ndr1_0
          & c3_1(a1338)
          & c1_1(a1338)
          & c2_1(a1338) ) )
      & ( ! [X62] :
            ( ndr1_0
           => ( ~ c0_1(X62)
              | ~ c2_1(X62)
              | c3_1(X62) ) )
        | ! [X61] :
            ( ndr1_0
           => ( c2_1(X61)
              | ~ c0_1(X61)
              | c1_1(X61) ) )
        | hskp14 )
      & ( ~ hskp0
        | ( ~ c1_1(a1306)
          & ~ c2_1(a1306)
          & ~ c0_1(a1306)
          & ndr1_0 ) )
      & ( hskp1
        | hskp4
        | hskp14 )
      & ( ( ~ c1_1(a1311)
          & c0_1(a1311)
          & ndr1_0
          & c2_1(a1311) )
        | ~ hskp3 )
      & ( ( c0_1(a1372)
          & ndr1_0
          & c1_1(a1372)
          & c3_1(a1372) )
        | ~ hskp30 )
      & ( ( c2_1(a1320)
          & ~ c1_1(a1320)
          & ~ c0_1(a1320)
          & ndr1_0 )
        | ~ hskp9 )
      & ( hskp9
        | hskp2
        | hskp30 )
      & ( hskp17
        | hskp4
        | ! [X85] :
            ( ndr1_0
           => ( c2_1(X85)
              | c3_1(X85)
              | ~ c0_1(X85) ) ) )
      & ( ( c3_1(a1328)
          & ndr1_0
          & c2_1(a1328)
          & c0_1(a1328) )
        | ~ hskp28 )
      & ( ! [X44] :
            ( ndr1_0
           => ( c0_1(X44)
              | c3_1(X44)
              | ~ c1_1(X44) ) )
        | hskp28 )
      & ( ( c0_1(a1394)
          & ~ c3_1(a1394)
          & ndr1_0
          & c2_1(a1394) )
        | ~ hskp25 )
      & ( ! [X79] :
            ( ndr1_0
           => ( c1_1(X79)
              | ~ c3_1(X79)
              | ~ c2_1(X79) ) )
        | ! [X80] :
            ( ndr1_0
           => ( ~ c3_1(X80)
              | c2_1(X80)
              | ~ c0_1(X80) ) )
        | hskp27 )
      & ( hskp6
        | hskp9
        | ! [X94] :
            ( ndr1_0
           => ( c3_1(X94)
              | ~ c2_1(X94)
              | ~ c0_1(X94) ) ) )
      & ( ! [X83] :
            ( ndr1_0
           => ( c3_1(X83)
              | c2_1(X83)
              | ~ c0_1(X83) ) )
        | hskp27
        | ! [X84] :
            ( ndr1_0
           => ( ~ c1_1(X84)
              | ~ c0_1(X84)
              | c3_1(X84) ) ) )
      & ( hskp29
        | hskp18
        | ! [X63] :
            ( ndr1_0
           => ( c2_1(X63)
              | ~ c0_1(X63)
              | c1_1(X63) ) ) )
      & ( ( ~ c3_1(a1333)
          & ~ c2_1(a1333)
          & ndr1_0
          & c1_1(a1333) )
        | ~ hskp16 )
      & ( ! [X98] :
            ( ndr1_0
           => ( ~ c3_1(X98)
              | ~ c1_1(X98)
              | ~ c2_1(X98) ) )
        | hskp17
        | ! [X97] :
            ( ndr1_0
           => ( ~ c0_1(X97)
              | ~ c2_1(X97)
              | ~ c1_1(X97) ) ) )
      & ( ! [X21] :
            ( ndr1_0
           => ( ~ c2_1(X21)
              | ~ c3_1(X21)
              | c0_1(X21) ) )
        | ! [X20] :
            ( ndr1_0
           => ( c3_1(X20)
              | c0_1(X20)
              | c2_1(X20) ) )
        | hskp8 )
      & ( hskp14
        | hskp15
        | ! [X53] :
            ( ndr1_0
           => ( ~ c2_1(X53)
              | c0_1(X53)
              | c3_1(X53) ) ) )
      & ( hskp8
        | hskp7
        | ! [X64] :
            ( ndr1_0
           => ( ~ c0_1(X64)
              | c2_1(X64)
              | c1_1(X64) ) ) )
      & ( ! [X76] :
            ( ndr1_0
           => ( ~ c0_1(X76)
              | c1_1(X76)
              | ~ c2_1(X76) ) )
        | hskp21
        | hskp0 )
      & ( hskp16
        | hskp14
        | ! [X86] :
            ( ndr1_0
           => ( c3_1(X86)
              | ~ c1_1(X86)
              | c2_1(X86) ) ) )
      & ( hskp1
        | ! [X6] :
            ( ndr1_0
           => ( c1_1(X6)
              | c0_1(X6)
              | c2_1(X6) ) )
        | hskp2 )
      & ( ( ~ c1_1(a1326)
          & ~ c2_1(a1326)
          & ~ c3_1(a1326)
          & ndr1_0 )
        | ~ hskp13 )
      & ( ( c3_1(a1309)
          & ~ c2_1(a1309)
          & ndr1_0
          & c1_1(a1309) )
        | ~ hskp2 )
      & ( ! [X24] :
            ( ndr1_0
           => ( c2_1(X24)
              | c3_1(X24)
              | c0_1(X24) ) )
        | hskp6
        | hskp10 )
      & ( ! [X77] :
            ( ndr1_0
           => ( c1_1(X77)
              | ~ c3_1(X77)
              | ~ c0_1(X77) ) )
        | hskp20
        | ! [X78] :
            ( ndr1_0
           => ( c2_1(X78)
              | ~ c1_1(X78)
              | c3_1(X78) ) ) )
      & ( ~ hskp15
        | ( ndr1_0
          & c1_1(a1331)
          & ~ c3_1(a1331)
          & c2_1(a1331) ) )
      & ( ~ hskp4
        | ( ~ c3_1(a1312)
          & ndr1_0
          & c0_1(a1312)
          & ~ c1_1(a1312) ) )
      & ( ! [X67] :
            ( ndr1_0
           => ( ~ c0_1(X67)
              | c3_1(X67)
              | c2_1(X67) ) )
        | ! [X66] :
            ( ndr1_0
           => ( ~ c0_1(X66)
              | ~ c2_1(X66)
              | c1_1(X66) ) )
        | ! [X65] :
            ( ndr1_0
           => ( c2_1(X65)
              | c1_1(X65)
              | ~ c3_1(X65) ) ) )
      & ( hskp12
        | hskp14
        | ! [X93] :
            ( ndr1_0
           => ( ~ c0_1(X93)
              | c3_1(X93)
              | ~ c2_1(X93) ) ) )
      & ( hskp3
        | ! [X11] :
            ( ndr1_0
           => ( ~ c3_1(X11)
              | c2_1(X11)
              | ~ c0_1(X11) ) )
        | ! [X10] :
            ( ndr1_0
           => ( c3_1(X10)
              | c0_1(X10)
              | c1_1(X10) ) ) )
      & ( ( c0_1(a1344)
          & ndr1_0
          & c1_1(a1344)
          & ~ c2_1(a1344) )
        | ~ hskp19 )
      & ( ! [X1] :
            ( ndr1_0
           => ( ~ c2_1(X1)
              | c3_1(X1)
              | c1_1(X1) ) )
        | ! [X0] :
            ( ndr1_0
           => ( c0_1(X0)
              | c1_1(X0)
              | c2_1(X0) ) )
        | hskp0 )
      & ( ( ~ c2_1(a1352)
          & c3_1(a1352)
          & ~ c0_1(a1352)
          & ndr1_0 )
        | ~ hskp21 )
      & ( ( ~ c2_1(a1316)
          & ~ c3_1(a1316)
          & ndr1_0
          & ~ c0_1(a1316) )
        | ~ hskp7 )
      & ( ( ~ c0_1(a1348)
          & c1_1(a1348)
          & ndr1_0
          & c2_1(a1348) )
        | ~ hskp20 )
      & ( hskp14
        | hskp27
        | hskp18 )
      & ( ! [X18] :
            ( ndr1_0
           => ( c3_1(X18)
              | c0_1(X18)
              | c2_1(X18) ) )
        | ! [X19] :
            ( ndr1_0
           => ( ~ c2_1(X19)
              | ~ c1_1(X19)
              | c0_1(X19) ) )
        | hskp6 )
      & ( ! [X102] :
            ( ndr1_0
           => ( ~ c2_1(X102)
              | ~ c3_1(X102)
              | ~ c1_1(X102) ) )
        | hskp22
        | hskp11 )
      & ( hskp15
        | ! [X72] :
            ( ndr1_0
           => ( c1_1(X72)
              | c3_1(X72)
              | ~ c0_1(X72) ) )
        | hskp19 )
      & ( hskp5
        | ! [X13] :
            ( ndr1_0
           => ( c0_1(X13)
              | c1_1(X13)
              | ~ c2_1(X13) ) )
        | hskp6 )
      & ( hskp1
        | hskp2
        | ! [X71] :
            ( ndr1_0
           => ( ~ c3_1(X71)
              | c2_1(X71)
              | c1_1(X71) ) ) )
      & ( hskp13
        | ! [X99] :
            ( ndr1_0
           => ( ~ c2_1(X99)
              | ~ c0_1(X99)
              | ~ c1_1(X99) ) ) )
      & ( ! [X8] :
            ( ndr1_0
           => ( ~ c2_1(X8)
              | c1_1(X8)
              | c0_1(X8) ) )
        | ! [X7] :
            ( ndr1_0
           => ( c1_1(X7)
              | c0_1(X7)
              | c3_1(X7) ) )
        | ! [X9] :
            ( ndr1_0
           => ( ~ c2_1(X9)
              | c1_1(X9)
              | c3_1(X9) ) ) )
      & ( hskp30
        | ! [X92] :
            ( ndr1_0
           => ( ~ c0_1(X92)
              | c3_1(X92)
              | ~ c2_1(X92) ) )
        | hskp18 ) ),
    file('/export/starexec/sandbox/benchmark/theBenchmark.p',co1) ).

fof(f1024,plain,
    ( ~ spl0_155
    | ~ spl0_44 ),
    inference(avatar_split_clause,[],[f184,f423,f1021]) ).

fof(f423,plain,
    ( spl0_44
  <=> hskp22 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_44])]) ).

fof(f184,plain,
    ( ~ hskp22
    | ~ c3_1(a1356) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f1018,plain,
    ( spl0_1
    | ~ spl0_22 ),
    inference(avatar_split_clause,[],[f27,f329,f242]) ).

fof(f242,plain,
    ( spl0_1
  <=> ndr1_0 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_1])]) ).

fof(f329,plain,
    ( spl0_22
  <=> hskp26 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_22])]) ).

fof(f27,plain,
    ( ~ hskp26
    | ndr1_0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f1017,plain,
    ( ~ spl0_22
    | ~ spl0_154 ),
    inference(avatar_split_clause,[],[f25,f1014,f329]) ).

fof(f25,plain,
    ( ~ c2_1(a1411)
    | ~ hskp26 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f1011,plain,
    ( spl0_153
    | ~ spl0_22 ),
    inference(avatar_split_clause,[],[f26,f329,f1008]) ).

fof(f26,plain,
    ( ~ hskp26
    | c0_1(a1411) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f1001,plain,
    ( ~ spl0_6
    | ~ spl0_151 ),
    inference(avatar_split_clause,[],[f130,f998,f260]) ).

fof(f260,plain,
    ( spl0_6
  <=> hskp12 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_6])]) ).

fof(f130,plain,
    ( ~ c0_1(a1325)
    | ~ hskp12 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f996,plain,
    ( ~ spl0_150
    | ~ spl0_61 ),
    inference(avatar_split_clause,[],[f136,f507,f993]) ).

fof(f507,plain,
    ( spl0_61
  <=> hskp2 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_61])]) ).

fof(f136,plain,
    ( ~ hskp2
    | ~ c2_1(a1309) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f982,plain,
    ( ~ spl0_45
    | spl0_148 ),
    inference(avatar_split_clause,[],[f89,f979,f428]) ).

fof(f428,plain,
    ( spl0_45
  <=> hskp3 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_45])]) ).

fof(f89,plain,
    ( c2_1(a1311)
    | ~ hskp3 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f972,plain,
    ( ~ spl0_1
    | spl0_24
    | spl0_21
    | spl0_44 ),
    inference(avatar_split_clause,[],[f122,f423,f325,f338,f242]) ).

fof(f338,plain,
    ( spl0_24
  <=> hskp23 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_24])]) ).

fof(f122,plain,
    ! [X41] :
      ( hskp22
      | ~ c2_1(X41)
      | c1_1(X41)
      | hskp23
      | ~ c3_1(X41)
      | ~ ndr1_0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f966,plain,
    ( spl0_61
    | spl0_44
    | spl0_21
    | ~ spl0_1 ),
    inference(avatar_split_clause,[],[f78,f242,f325,f423,f507]) ).

fof(f78,plain,
    ! [X59] :
      ( ~ ndr1_0
      | ~ c3_1(X59)
      | c1_1(X59)
      | hskp22
      | hskp2
      | ~ c2_1(X59) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f965,plain,
    ( ~ spl0_17
    | ~ spl0_145 ),
    inference(avatar_split_clause,[],[f43,f962,f306]) ).

fof(f306,plain,
    ( spl0_17
  <=> hskp8 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_17])]) ).

fof(f43,plain,
    ( ~ c3_1(a1319)
    | ~ hskp8 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f960,plain,
    ( ~ spl0_144
    | ~ spl0_46 ),
    inference(avatar_split_clause,[],[f151,f432,f957]) ).

fof(f432,plain,
    ( spl0_46
  <=> hskp7 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_46])]) ).

fof(f151,plain,
    ( ~ hskp7
    | ~ c0_1(a1316) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f955,plain,
    ( ~ spl0_46
    | ~ spl0_143 ),
    inference(avatar_split_clause,[],[f153,f952,f432]) ).

fof(f153,plain,
    ( ~ c3_1(a1316)
    | ~ hskp7 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f940,plain,
    ( ~ spl0_51
    | ~ spl0_140 ),
    inference(avatar_split_clause,[],[f147,f937,f455]) ).

fof(f455,plain,
    ( spl0_51
  <=> hskp25 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_51])]) ).

fof(f147,plain,
    ( ~ c3_1(a1394)
    | ~ hskp25 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f932,plain,
    ( spl0_6
    | spl0_22 ),
    inference(avatar_split_clause,[],[f195,f329,f260]) ).

fof(f195,plain,
    ( hskp26
    | hskp12 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f931,plain,
    ( ~ spl0_139
    | ~ spl0_19 ),
    inference(avatar_split_clause,[],[f158,f315,f928]) ).

fof(f315,plain,
    ( spl0_19
  <=> hskp4 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_19])]) ).

fof(f158,plain,
    ( ~ hskp4
    | ~ c3_1(a1312) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f926,plain,
    ( ~ spl0_138
    | ~ spl0_63 ),
    inference(avatar_split_clause,[],[f36,f515,f923]) ).

fof(f36,plain,
    ( ~ hskp19
    | ~ c2_1(a1344) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f921,plain,
    ( ~ spl0_1
    | spl0_62
    | spl0_56
    | spl0_54 ),
    inference(avatar_split_clause,[],[f212,f472,f480,f511,f242]) ).

fof(f212,plain,
    ! [X62,X60,X61] :
      ( ~ c2_1(X60)
      | c1_1(X61)
      | ~ c2_1(X62)
      | c0_1(X62)
      | c3_1(X61)
      | c3_1(X60)
      | c1_1(X62)
      | c1_1(X60)
      | ~ ndr1_0
      | c0_1(X61) ),
    inference(duplicate_literal_removal,[],[f73]) ).

fof(f73,plain,
    ! [X62,X60,X61] :
      ( ~ c2_1(X60)
      | ~ ndr1_0
      | ~ c2_1(X62)
      | c1_1(X60)
      | c0_1(X61)
      | ~ ndr1_0
      | ~ ndr1_0
      | c1_1(X61)
      | c0_1(X62)
      | c3_1(X61)
      | c1_1(X62)
      | c3_1(X60) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f913,plain,
    ( ~ spl0_82
    | ~ spl0_136 ),
    inference(avatar_split_clause,[],[f51,f910,f607]) ).

fof(f607,plain,
    ( spl0_82
  <=> hskp13 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_82])]) ).

fof(f51,plain,
    ( ~ c2_1(a1326)
    | ~ hskp13 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f902,plain,
    ( spl0_51
    | spl0_2
    | spl0_16 ),
    inference(avatar_split_clause,[],[f192,f301,f246,f455]) ).

fof(f246,plain,
    ( spl0_2
  <=> hskp28 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_2])]) ).

fof(f301,plain,
    ( spl0_16
  <=> hskp14 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_16])]) ).

fof(f192,plain,
    ( hskp14
    | hskp28
    | hskp25 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f890,plain,
    ( ~ spl0_132
    | ~ spl0_82 ),
    inference(avatar_split_clause,[],[f52,f607,f887]) ).

fof(f52,plain,
    ( ~ hskp13
    | ~ c1_1(a1326) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f885,plain,
    ( ~ spl0_131
    | ~ spl0_45 ),
    inference(avatar_split_clause,[],[f92,f428,f882]) ).

fof(f92,plain,
    ( ~ hskp3
    | ~ c1_1(a1311) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f880,plain,
    ( spl0_130
    | ~ spl0_63 ),
    inference(avatar_split_clause,[],[f39,f515,f877]) ).

fof(f39,plain,
    ( ~ hskp19
    | c0_1(a1344) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f875,plain,
    ( spl0_82
    | spl0_7
    | ~ spl0_1 ),
    inference(avatar_split_clause,[],[f115,f242,f264,f607]) ).

fof(f115,plain,
    ! [X44] :
      ( ~ ndr1_0
      | ~ c1_1(X44)
      | ~ c2_1(X44)
      | ~ c0_1(X44)
      | hskp13 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f863,plain,
    ( ~ spl0_2
    | spl0_127 ),
    inference(avatar_split_clause,[],[f58,f860,f246]) ).

fof(f58,plain,
    ( c0_1(a1328)
    | ~ hskp28 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f851,plain,
    ( spl0_125
    | ~ spl0_8 ),
    inference(avatar_split_clause,[],[f196,f268,f848]) ).

fof(f268,plain,
    ( spl0_8
  <=> hskp17 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_8])]) ).

fof(f196,plain,
    ( ~ hskp17
    | c3_1(a1334) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f840,plain,
    ( spl0_123
    | ~ spl0_9 ),
    inference(avatar_split_clause,[],[f68,f273,f837]) ).

fof(f273,plain,
    ( spl0_9
  <=> hskp11 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_9])]) ).

fof(f68,plain,
    ( ~ hskp11
    | c3_1(a1324) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f835,plain,
    ( ~ spl0_11
    | spl0_122 ),
    inference(avatar_split_clause,[],[f74,f832,f282]) ).

fof(f282,plain,
    ( spl0_11
  <=> hskp29 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_11])]) ).

fof(f74,plain,
    ( c2_1(a1338)
    | ~ hskp29 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f830,plain,
    ( ~ spl0_121
    | ~ spl0_16 ),
    inference(avatar_split_clause,[],[f54,f301,f827]) ).

fof(f54,plain,
    ( ~ hskp14
    | ~ c2_1(a1330) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f825,plain,
    ( spl0_120
    | ~ spl0_15 ),
    inference(avatar_split_clause,[],[f100,f297,f822]) ).

fof(f297,plain,
    ( spl0_15
  <=> hskp27 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_15])]) ).

fof(f100,plain,
    ( ~ hskp27
    | c0_1(a1307) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f820,plain,
    ( ~ spl0_1
    | spl0_36
    | spl0_37
    | spl0_71 ),
    inference(avatar_split_clause,[],[f217,f555,f393,f390,f242]) ).

fof(f217,plain,
    ! [X76,X74,X75] :
      ( ~ c0_1(X75)
      | ~ c2_1(X76)
      | c3_1(X74)
      | ~ c3_1(X75)
      | ~ ndr1_0
      | ~ c2_1(X74)
      | ~ c1_1(X75)
      | c0_1(X74)
      | ~ c3_1(X76)
      | c0_1(X76) ),
    inference(duplicate_literal_removal,[],[f34]) ).

fof(f34,plain,
    ! [X76,X74,X75] :
      ( c3_1(X74)
      | ~ c2_1(X76)
      | c0_1(X74)
      | c0_1(X76)
      | ~ c1_1(X75)
      | ~ ndr1_0
      | ~ c3_1(X76)
      | ~ ndr1_0
      | ~ ndr1_0
      | ~ c2_1(X74)
      | ~ c0_1(X75)
      | ~ c3_1(X75) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f816,plain,
    ( spl0_63
    | spl0_30
    | spl0_16 ),
    inference(avatar_split_clause,[],[f45,f301,f366,f515]) ).

fof(f366,plain,
    ( spl0_30
  <=> hskp9 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_30])]) ).

fof(f45,plain,
    ( hskp14
    | hskp9
    | hskp19 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f815,plain,
    ( ~ spl0_1
    | spl0_8
    | spl0_35
    | spl0_3 ),
    inference(avatar_split_clause,[],[f201,f250,f385,f268,f242]) ).

fof(f385,plain,
    ( spl0_35
  <=> hskp16 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_35])]) ).

fof(f201,plain,
    ! [X4] :
      ( ~ c2_1(X4)
      | hskp16
      | ~ c1_1(X4)
      | hskp17
      | ~ ndr1_0
      | c0_1(X4) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f814,plain,
    ( spl0_1
    | ~ spl0_6 ),
    inference(avatar_split_clause,[],[f132,f260,f242]) ).

fof(f132,plain,
    ( ~ hskp12
    | ndr1_0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f813,plain,
    ( ~ spl0_30
    | ~ spl0_119 ),
    inference(avatar_split_clause,[],[f118,f810,f366]) ).

fof(f118,plain,
    ( ~ c1_1(a1320)
    | ~ hskp9 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f803,plain,
    ( spl0_117
    | ~ spl0_23 ),
    inference(avatar_split_clause,[],[f171,f333,f800]) ).

fof(f333,plain,
    ( spl0_23
  <=> hskp20 ),
    introduced(avatar_definition,[new_symbols(naming,[spl0_23])]) ).

fof(f171,plain,
    ( ~ hskp20
    | c2_1(a1348) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f793,plain,
    ( ~ spl0_15
    | spl0_115 ),
    inference(avatar_split_clause,[],[f99,f790,f297]) ).

fof(f99,plain,
    ( c1_1(a1307)
    | ~ hskp27 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f772,plain,
    ( spl0_76
    | spl0_19
    | spl0_8
    | ~ spl0_1 ),
    inference(avatar_split_clause,[],[f71,f242,f268,f315,f579]) ).

fof(f71,plain,
    ! [X65] :
      ( ~ ndr1_0
      | hskp17
      | hskp4
      | c3_1(X65)
      | ~ c0_1(X65)
      | c2_1(X65) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f766,plain,
    ( ~ spl0_111
    | ~ spl0_30 ),
    inference(avatar_split_clause,[],[f117,f366,f763]) ).

fof(f117,plain,
    ( ~ hskp9
    | ~ c0_1(a1320) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f761,plain,
    ( ~ spl0_51
    | spl0_110 ),
    inference(avatar_split_clause,[],[f148,f758,f455]) ).

fof(f148,plain,
    ( c0_1(a1394)
    | ~ hskp25 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f756,plain,
    ( ~ spl0_109
    | ~ spl0_46 ),
    inference(avatar_split_clause,[],[f154,f432,f753]) ).

fof(f154,plain,
    ( ~ hskp7
    | ~ c2_1(a1316) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f751,plain,
    ( spl0_76
    | spl0_15
    | ~ spl0_1
    | spl0_13 ),
    inference(avatar_split_clause,[],[f222,f290,f242,f297,f579]) ).

fof(f222,plain,
    ! [X56,X55] :
      ( ~ c1_1(X55)
      | c3_1(X55)
      | ~ ndr1_0
      | hskp27
      | ~ c0_1(X55)
      | c3_1(X56)
      | c2_1(X56)
      | ~ c0_1(X56) ),
    inference(duplicate_literal_removal,[],[f82]) ).

fof(f82,plain,
    ! [X56,X55] :
      ( c2_1(X56)
      | ~ c1_1(X55)
      | ~ ndr1_0
      | c3_1(X55)
      | ~ ndr1_0
      | ~ c0_1(X56)
      | hskp27
      | c3_1(X56)
      | ~ c0_1(X55) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f745,plain,
    ( ~ spl0_82
    | ~ spl0_107 ),
    inference(avatar_split_clause,[],[f50,f742,f607]) ).

fof(f50,plain,
    ( ~ c3_1(a1326)
    | ~ hskp13 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f740,plain,
    ( ~ spl0_1
    | spl0_9
    | spl0_44
    | spl0_57 ),
    inference(avatar_split_clause,[],[f46,f484,f423,f273,f242]) ).

fof(f46,plain,
    ! [X71] :
      ( ~ c1_1(X71)
      | ~ c3_1(X71)
      | hskp22
      | hskp11
      | ~ ndr1_0
      | ~ c2_1(X71) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f733,plain,
    ( ~ spl0_105
    | ~ spl0_9 ),
    inference(avatar_split_clause,[],[f66,f273,f730]) ).

fof(f66,plain,
    ( ~ hskp11
    | ~ c1_1(a1324) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f724,plain,
    ( ~ spl0_8
    | spl0_103 ),
    inference(avatar_split_clause,[],[f198,f721,f268]) ).

fof(f198,plain,
    ( c2_1(a1334)
    | ~ hskp17 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f704,plain,
    ( ~ spl0_99
    | ~ spl0_23 ),
    inference(avatar_split_clause,[],[f174,f333,f701]) ).

fof(f174,plain,
    ( ~ hskp20
    | ~ c0_1(a1348) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f697,plain,
    ( ~ spl0_1
    | spl0_9
    | spl0_31
    | spl0_71 ),
    inference(avatar_split_clause,[],[f224,f555,f370,f273,f242]) ).

fof(f224,plain,
    ! [X6,X7] :
      ( ~ c1_1(X7)
      | ~ c2_1(X6)
      | ~ c0_1(X6)
      | hskp11
      | ~ c0_1(X7)
      | ~ c3_1(X7)
      | ~ ndr1_0
      | c3_1(X6) ),
    inference(duplicate_literal_removal,[],[f193]) ).

fof(f193,plain,
    ! [X6,X7] :
      ( c3_1(X6)
      | ~ c1_1(X7)
      | ~ c0_1(X6)
      | ~ ndr1_0
      | ~ c3_1(X7)
      | hskp11
      | ~ ndr1_0
      | ~ c2_1(X6)
      | ~ c0_1(X7) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f687,plain,
    ( ~ spl0_35
    | spl0_96 ),
    inference(avatar_split_clause,[],[f165,f684,f385]) ).

fof(f165,plain,
    ( c1_1(a1333)
    | ~ hskp16 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f679,plain,
    ( ~ spl0_95
    | ~ spl0_8 ),
    inference(avatar_split_clause,[],[f197,f268,f676]) ).

fof(f197,plain,
    ( ~ hskp17
    | ~ c0_1(a1334) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f665,plain,
    ( ~ spl0_92
    | ~ spl0_17 ),
    inference(avatar_split_clause,[],[f42,f306,f662]) ).

fof(f42,plain,
    ( ~ hskp8
    | ~ c0_1(a1319) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f660,plain,
    ( ~ spl0_11
    | spl0_91 ),
    inference(avatar_split_clause,[],[f76,f657,f282]) ).

fof(f76,plain,
    ( c3_1(a1338)
    | ~ hskp29 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f655,plain,
    ( spl0_2
    | ~ spl0_1
    | spl0_5 ),
    inference(avatar_split_clause,[],[f105,f257,f242,f246]) ).

fof(f105,plain,
    ! [X47] :
      ( c0_1(X47)
      | c3_1(X47)
      | ~ c1_1(X47)
      | ~ ndr1_0
      | hskp28 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f654,plain,
    ( spl0_90
    | ~ spl0_61 ),
    inference(avatar_split_clause,[],[f137,f507,f651]) ).

fof(f137,plain,
    ( ~ hskp2
    | c3_1(a1309) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f649,plain,
    ( ~ spl0_1
    | spl0_37
    | spl0_75
    | spl0_17 ),
    inference(avatar_split_clause,[],[f226,f306,f576,f393,f242]) ).

fof(f226,plain,
    ! [X16,X17] :
      ( hskp8
      | c3_1(X16)
      | c0_1(X16)
      | ~ c3_1(X17)
      | c0_1(X17)
      | ~ c2_1(X17)
      | ~ ndr1_0
      | c2_1(X16) ),
    inference(duplicate_literal_removal,[],[f186]) ).

fof(f186,plain,
    ! [X16,X17] :
      ( ~ c3_1(X17)
      | c0_1(X16)
      | hskp8
      | ~ c2_1(X17)
      | c3_1(X16)
      | ~ ndr1_0
      | c0_1(X17)
      | c2_1(X16)
      | ~ ndr1_0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f637,plain,
    ( spl0_87
    | ~ spl0_45 ),
    inference(avatar_split_clause,[],[f91,f428,f634]) ).

fof(f91,plain,
    ( ~ hskp3
    | c0_1(a1311) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f617,plain,
    ( spl0_46
    | spl0_17
    | spl0_12
    | ~ spl0_1 ),
    inference(avatar_split_clause,[],[f40,f242,f286,f306,f432]) ).

fof(f40,plain,
    ! [X72] :
      ( ~ ndr1_0
      | c2_1(X72)
      | ~ c0_1(X72)
      | hskp8
      | hskp7
      | c1_1(X72) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f610,plain,
    ( spl0_16
    | ~ spl0_1
    | spl0_82
    | spl0_33 ),
    inference(avatar_split_clause,[],[f81,f377,f607,f242,f301]) ).

fof(f81,plain,
    ! [X57] :
      ( ~ c1_1(X57)
      | c2_1(X57)
      | c3_1(X57)
      | hskp13
      | ~ ndr1_0
      | hskp14 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f605,plain,
    ( spl0_81
    | ~ spl0_16 ),
    inference(avatar_split_clause,[],[f56,f301,f602]) ).

fof(f56,plain,
    ( ~ hskp14
    | c0_1(a1330) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f600,plain,
    ( ~ spl0_6
    | spl0_80 ),
    inference(avatar_split_clause,[],[f129,f597,f260]) ).

fof(f129,plain,
    ( c1_1(a1325)
    | ~ hskp12 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f595,plain,
    ( spl0_79
    | ~ spl0_17 ),
    inference(avatar_split_clause,[],[f44,f306,f592]) ).

fof(f44,plain,
    ( ~ hskp8
    | c2_1(a1319) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f586,plain,
    ( ~ spl0_2
    | spl0_77 ),
    inference(avatar_split_clause,[],[f59,f583,f246]) ).

fof(f59,plain,
    ( c2_1(a1328)
    | ~ hskp28 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f581,plain,
    ( spl0_30
    | ~ spl0_1
    | spl0_75
    | spl0_76 ),
    inference(avatar_split_clause,[],[f229,f579,f576,f242,f366]) ).

fof(f229,plain,
    ! [X42,X43] :
      ( c3_1(X43)
      | c0_1(X42)
      | ~ c0_1(X43)
      | ~ ndr1_0
      | hskp9
      | c2_1(X42)
      | c2_1(X43)
      | c3_1(X42) ),
    inference(duplicate_literal_removal,[],[f121]) ).

fof(f121,plain,
    ! [X42,X43] :
      ( c3_1(X42)
      | c0_1(X42)
      | c2_1(X42)
      | c2_1(X43)
      | hskp9
      | ~ ndr1_0
      | c3_1(X43)
      | ~ ndr1_0
      | ~ c0_1(X43) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f573,plain,
    ( spl0_74
    | ~ spl0_61 ),
    inference(avatar_split_clause,[],[f134,f507,f570]) ).

fof(f134,plain,
    ( ~ hskp2
    | c1_1(a1309) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f567,plain,
    ( spl0_73
    | ~ spl0_2 ),
    inference(avatar_split_clause,[],[f61,f246,f564]) ).

fof(f61,plain,
    ( ~ hskp28
    | c3_1(a1328) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f562,plain,
    ( ~ spl0_24
    | ~ spl0_72 ),
    inference(avatar_split_clause,[],[f107,f559,f338]) ).

fof(f107,plain,
    ( ~ c1_1(a1359)
    | ~ hskp23 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f553,plain,
    ( spl0_70
    | ~ spl0_15 ),
    inference(avatar_split_clause,[],[f97,f297,f550]) ).

fof(f97,plain,
    ( ~ hskp27
    | c2_1(a1307) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f548,plain,
    ( ~ spl0_16
    | ~ spl0_69 ),
    inference(avatar_split_clause,[],[f55,f545,f301]) ).

fof(f55,plain,
    ( ~ c1_1(a1330)
    | ~ hskp14 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f543,plain,
    ( ~ spl0_1
    | spl0_30
    | spl0_68
    | spl0_5 ),
    inference(avatar_split_clause,[],[f231,f257,f541,f366,f242]) ).

fof(f231,plain,
    ! [X70,X69] :
      ( ~ c1_1(X70)
      | c3_1(X70)
      | c2_1(X69)
      | c0_1(X70)
      | ~ c1_1(X69)
      | hskp9
      | c0_1(X69)
      | ~ ndr1_0 ),
    inference(duplicate_literal_removal,[],[f47]) ).

fof(f47,plain,
    ! [X70,X69] :
      ( ~ ndr1_0
      | ~ c1_1(X69)
      | c3_1(X70)
      | c0_1(X70)
      | ~ c1_1(X70)
      | hskp9
      | c2_1(X69)
      | c0_1(X69)
      | ~ ndr1_0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f538,plain,
    ( ~ spl0_35
    | ~ spl0_67 ),
    inference(avatar_split_clause,[],[f167,f535,f385]) ).

fof(f167,plain,
    ( ~ c2_1(a1333)
    | ~ hskp16 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f533,plain,
    ( ~ spl0_9
    | spl0_66 ),
    inference(avatar_split_clause,[],[f69,f530,f273]) ).

fof(f69,plain,
    ( c0_1(a1324)
    | ~ hskp11 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f504,plain,
    ( spl0_60
    | ~ spl0_44 ),
    inference(avatar_split_clause,[],[f182,f423,f501]) ).

fof(f182,plain,
    ( ~ hskp22
    | c1_1(a1356) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f496,plain,
    ( ~ spl0_59
    | ~ spl0_24 ),
    inference(avatar_split_clause,[],[f106,f338,f493]) ).

fof(f106,plain,
    ( ~ hskp23
    | ~ c3_1(a1359) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f491,plain,
    ( ~ spl0_30
    | spl0_58 ),
    inference(avatar_split_clause,[],[f119,f488,f366]) ).

fof(f119,plain,
    ( c2_1(a1320)
    | ~ hskp9 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f486,plain,
    ( ~ spl0_1
    | spl0_8
    | spl0_7
    | spl0_57 ),
    inference(avatar_split_clause,[],[f232,f484,f264,f268,f242]) ).

fof(f232,plain,
    ! [X24,X25] :
      ( ~ c2_1(X24)
      | ~ c1_1(X24)
      | ~ c3_1(X24)
      | ~ c2_1(X25)
      | ~ c1_1(X25)
      | ~ c0_1(X25)
      | hskp17
      | ~ ndr1_0 ),
    inference(duplicate_literal_removal,[],[f163]) ).

fof(f163,plain,
    ! [X24,X25] :
      ( ~ c2_1(X25)
      | ~ c0_1(X25)
      | ~ c2_1(X24)
      | ~ c1_1(X25)
      | ~ c1_1(X24)
      | ~ c3_1(X24)
      | hskp17
      | ~ ndr1_0
      | ~ ndr1_0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f482,plain,
    ( ~ spl0_1
    | spl0_4
    | spl0_45
    | spl0_56 ),
    inference(avatar_split_clause,[],[f233,f480,f428,f253,f242]) ).

fof(f233,plain,
    ! [X26,X27] :
      ( c1_1(X27)
      | c0_1(X27)
      | hskp3
      | ~ c0_1(X26)
      | c2_1(X26)
      | c3_1(X27)
      | ~ ndr1_0
      | ~ c3_1(X26) ),
    inference(duplicate_literal_removal,[],[f162]) ).

fof(f162,plain,
    ! [X26,X27] :
      ( c1_1(X27)
      | c3_1(X27)
      | ~ ndr1_0
      | ~ ndr1_0
      | hskp3
      | c0_1(X27)
      | c2_1(X26)
      | ~ c3_1(X26)
      | ~ c0_1(X26) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f463,plain,
    ( ~ spl0_22
    | ~ spl0_52 ),
    inference(avatar_split_clause,[],[f28,f460,f329]) ).

fof(f28,plain,
    ( ~ c3_1(a1411)
    | ~ hskp26 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f458,plain,
    ( spl0_50
    | ~ spl0_51 ),
    inference(avatar_split_clause,[],[f145,f455,f451]) ).

fof(f145,plain,
    ( ~ hskp25
    | c2_1(a1394) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f444,plain,
    ( ~ spl0_19
    | spl0_48 ),
    inference(avatar_split_clause,[],[f156,f441,f315]) ).

fof(f156,plain,
    ( c0_1(a1312)
    | ~ hskp4 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f426,plain,
    ( spl0_43
    | ~ spl0_44 ),
    inference(avatar_split_clause,[],[f185,f423,f419]) ).

fof(f185,plain,
    ( ~ hskp22
    | c0_1(a1356) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f398,plain,
    ( ~ spl0_1
    | spl0_36
    | spl0_37
    | spl0_38 ),
    inference(avatar_split_clause,[],[f235,f396,f393,f390,f242]) ).

fof(f235,plain,
    ! [X86,X87,X85] :
      ( ~ c2_1(X85)
      | c0_1(X86)
      | ~ c0_1(X85)
      | c0_1(X87)
      | ~ c3_1(X86)
      | c3_1(X87)
      | ~ c2_1(X87)
      | c1_1(X85)
      | ~ c2_1(X86)
      | ~ ndr1_0 ),
    inference(duplicate_literal_removal,[],[f17]) ).

fof(f17,plain,
    ! [X86,X87,X85] :
      ( ~ c0_1(X85)
      | ~ ndr1_0
      | ~ c2_1(X85)
      | ~ c3_1(X86)
      | c1_1(X85)
      | ~ c2_1(X86)
      | ~ ndr1_0
      | c3_1(X87)
      | c0_1(X86)
      | c0_1(X87)
      | ~ c2_1(X87)
      | ~ ndr1_0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f388,plain,
    ( ~ spl0_34
    | ~ spl0_35 ),
    inference(avatar_split_clause,[],[f168,f385,f381]) ).

fof(f168,plain,
    ( ~ hskp16
    | ~ c3_1(a1333) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f360,plain,
    ( ~ spl0_11
    | spl0_28 ),
    inference(avatar_split_clause,[],[f75,f357,f282]) ).

fof(f75,plain,
    ( c1_1(a1338)
    | ~ hskp29 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f355,plain,
    ( spl0_27
    | ~ spl0_23 ),
    inference(avatar_split_clause,[],[f173,f333,f352]) ).

fof(f173,plain,
    ( ~ hskp20
    | c1_1(a1348) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f350,plain,
    ( ~ spl0_6
    | spl0_26 ),
    inference(avatar_split_clause,[],[f131,f347,f260]) ).

fof(f131,plain,
    ( c3_1(a1325)
    | ~ hskp12 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f345,plain,
    ( ~ spl0_24
    | ~ spl0_25 ),
    inference(avatar_split_clause,[],[f109,f342,f338]) ).

fof(f109,plain,
    ( ~ c0_1(a1359)
    | ~ hskp23 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f336,plain,
    ( spl0_22
    | spl0_11
    | spl0_23 ),
    inference(avatar_split_clause,[],[f150,f333,f282,f329]) ).

fof(f150,plain,
    ( hskp20
    | hskp29
    | hskp26 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f327,plain,
    ( spl0_15
    | ~ spl0_1
    | spl0_4
    | spl0_21 ),
    inference(avatar_split_clause,[],[f237,f325,f253,f242,f297]) ).

fof(f237,plain,
    ! [X10,X11] :
      ( c1_1(X10)
      | ~ c0_1(X11)
      | ~ ndr1_0
      | c2_1(X11)
      | ~ c3_1(X10)
      | ~ c2_1(X10)
      | hskp27
      | ~ c3_1(X11) ),
    inference(duplicate_literal_removal,[],[f190]) ).

fof(f190,plain,
    ! [X10,X11] :
      ( ~ ndr1_0
      | ~ c3_1(X11)
      | ~ c2_1(X10)
      | hskp27
      | c1_1(X10)
      | ~ ndr1_0
      | c2_1(X11)
      | ~ c0_1(X11)
      | ~ c3_1(X10) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f318,plain,
    ( ~ spl0_18
    | ~ spl0_19 ),
    inference(avatar_split_clause,[],[f155,f315,f311]) ).

fof(f155,plain,
    ( ~ hskp4
    | ~ c1_1(a1312) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f309,plain,
    ( spl0_13
    | spl0_16
    | ~ spl0_1
    | spl0_17 ),
    inference(avatar_split_clause,[],[f170,f306,f242,f301,f290]) ).

fof(f170,plain,
    ! [X21] :
      ( hskp8
      | ~ ndr1_0
      | hskp14
      | c3_1(X21)
      | ~ c0_1(X21)
      | ~ c1_1(X21) ),
    inference(cnf_transformation,[],[f7]) ).

fof(f295,plain,
    ( ~ spl0_1
    | spl0_13
    | spl0_5
    | spl0_14 ),
    inference(avatar_split_clause,[],[f238,f293,f257,f290,f242]) ).

fof(f238,plain,
    ! [X31,X32,X30] :
      ( c2_1(X31)
      | c3_1(X32)
      | c3_1(X31)
      | ~ c0_1(X30)
      | ~ ndr1_0
      | ~ c1_1(X30)
      | c3_1(X30)
      | c1_1(X31)
      | c0_1(X32)
      | ~ c1_1(X32) ),
    inference(duplicate_literal_removal,[],[f149]) ).

fof(f149,plain,
    ! [X31,X32,X30] :
      ( ~ c1_1(X32)
      | ~ c0_1(X30)
      | c0_1(X32)
      | ~ c1_1(X30)
      | c2_1(X31)
      | ~ ndr1_0
      | c1_1(X31)
      | c3_1(X31)
      | c3_1(X32)
      | c3_1(X30)
      | ~ ndr1_0
      | ~ ndr1_0 ),
    inference(cnf_transformation,[],[f7]) ).

fof(f266,plain,
    ( ~ spl0_1
    | spl0_5
    | spl0_6
    | spl0_7 ),
    inference(avatar_split_clause,[],[f239,f264,f260,f257,f242]) ).

fof(f239,plain,
    ! [X50,X49] :
      ( ~ c1_1(X50)
      | ~ c0_1(X50)
      | hskp12
      | c0_1(X49)
      | ~ c1_1(X49)
      | c3_1(X49)
      | ~ c2_1(X50)
      | ~ ndr1_0 ),
    inference(duplicate_literal_removal,[],[f103]) ).

fof(f103,plain,
    ! [X50,X49] :
      ( ~ ndr1_0
      | ~ c1_1(X49)
      | ~ c2_1(X50)
      | c3_1(X49)
      | c0_1(X49)
      | ~ ndr1_0
      | ~ c1_1(X50)
      | hskp12
      | ~ c0_1(X50) ),
    inference(cnf_transformation,[],[f7]) ).

%------------------------------------------------------------------------------
%----ORIGINAL SYSTEM OUTPUT
% 0.04/0.12  % Problem    : SYN478+1 : TPTP v8.1.0. Released v2.1.0.
% 0.04/0.13  % Command    : vampire --input_syntax tptp --proof tptp --output_axiom_names on --mode portfolio --schedule snake_tptp_uns --cores 0 -t %d %s
% 0.13/0.34  % Computer : n010.cluster.edu
% 0.13/0.34  % Model    : x86_64 x86_64
% 0.13/0.34  % CPU      : Intel(R) Xeon(R) CPU E5-2620 v4 @ 2.10GHz
% 0.13/0.34  % Memory   : 8042.1875MB
% 0.13/0.34  % OS       : Linux 3.10.0-693.el7.x86_64
% 0.13/0.34  % CPULimit   : 300
% 0.13/0.34  % WCLimit    : 300
% 0.13/0.34  % DateTime   : Tue Aug 30 21:51:59 EDT 2022
% 0.13/0.34  % CPUTime    : 
% 0.20/0.50  % (4139)lrs+10_5:1_br=off:fde=none:nwc=3.0:sd=1:sgt=10:sos=on:ss=axioms:urr=on:i=51:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/51Mi)
% 0.20/0.51  % (4145)lrs+10_1:1_br=off:sos=on:ss=axioms:st=2.0:urr=on:i=33:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/33Mi)
% 0.20/0.51  % (4147)lrs+10_1:2_br=off:nm=4:ss=included:urr=on:i=7:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/7Mi)
% 0.20/0.52  % (4142)dis+1010_1:50_awrs=decay:awrsf=128:nwc=10.0:s2pl=no:sp=frequency:ss=axioms:i=39:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/39Mi)
% 0.20/0.52  % (4151)lrs+10_1:1_drc=off:sp=reverse_frequency:spb=goal:to=lpo:i=7:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/7Mi)
% 0.20/0.52  % (4146)lrs+10_1:1_ep=R:lcm=predicate:lma=on:sos=all:spb=goal:ss=included:i=12:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/12Mi)
% 0.20/0.52  % (4140)lrs+10_1:1024_nm=0:nwc=5.0:ss=axioms:i=13:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/13Mi)
% 0.20/0.52  % (4159)dis+10_1:1_av=off:sos=on:sp=reverse_arity:ss=included:st=2.0:to=lpo:urr=ec_only:i=45:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/45Mi)
% 0.20/0.52  % (4141)dis+21_1:1_av=off:sos=on:sp=frequency:ss=included:to=lpo:i=15:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/15Mi)
% 0.20/0.52  % (4148)lrs+10_1:4_av=off:bs=unit_only:bsr=unit_only:ep=RS:s2a=on:sos=on:sp=frequency:to=lpo:i=16:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/16Mi)
% 0.20/0.53  % (4165)lrs-11_1:1_nm=0:sac=on:sd=4:ss=axioms:st=3.0:i=24:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/24Mi)
% 0.20/0.53  % (4147)Instruction limit reached!
% 0.20/0.53  % (4147)------------------------------
% 0.20/0.53  % (4147)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 0.20/0.53  % (4147)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 0.20/0.53  % (4147)Termination reason: Unknown
% 0.20/0.53  % (4147)Termination phase: Saturation
% 0.20/0.53  
% 0.20/0.53  % (4147)Memory used [KB]: 6396
% 0.20/0.53  % (4147)Time elapsed: 0.009 s
% 0.20/0.53  % (4147)Instructions burned: 7 (million)
% 0.20/0.53  % (4147)------------------------------
% 0.20/0.53  % (4147)------------------------------
% 1.38/0.53  % (4150)lrs+10_1:1_ins=3:sp=reverse_frequency:spb=goal:to=lpo:i=3:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/3Mi)
% 1.38/0.53  % (4162)lrs+1011_1:1_fd=preordered:fsd=on:sos=on:thsq=on:thsqc=64:thsqd=32:uwa=ground:i=99:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/99Mi)
% 1.38/0.53  % (4144)dis+10_1:1_newcnf=on:sgt=8:sos=on:ss=axioms:to=lpo:urr=on:i=49:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/49Mi)
% 1.38/0.53  % (4150)Instruction limit reached!
% 1.38/0.53  % (4150)------------------------------
% 1.38/0.53  % (4150)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.38/0.53  % (4150)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.38/0.53  % (4150)Termination reason: Unknown
% 1.38/0.53  % (4150)Termination phase: Unused predicate definition removal
% 1.38/0.53  
% 1.38/0.53  % (4150)Memory used [KB]: 1663
% 1.38/0.53  % (4150)Time elapsed: 0.002 s
% 1.38/0.53  % (4150)Instructions burned: 3 (million)
% 1.38/0.53  % (4150)------------------------------
% 1.38/0.53  % (4150)------------------------------
% 1.38/0.53  % (4160)dis+21_1:1_ep=RS:nwc=10.0:s2a=on:s2at=1.5:i=50:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/50Mi)
% 1.38/0.53  % (4136)dis+1002_1:12_drc=off:fd=preordered:tgt=full:i=99978:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/99978Mi)
% 1.38/0.53  % (4138)dis+1002_1:1_aac=none:bd=off:sac=on:sos=on:spb=units:i=3:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/3Mi)
% 1.38/0.53  % (4138)Instruction limit reached!
% 1.38/0.53  % (4138)------------------------------
% 1.38/0.53  % (4138)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.38/0.53  % (4138)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.38/0.53  % (4138)Termination reason: Unknown
% 1.38/0.53  % (4138)Termination phase: Preprocessing 2
% 1.38/0.53  
% 1.38/0.53  % (4138)Memory used [KB]: 1663
% 1.38/0.53  % (4138)Time elapsed: 0.003 s
% 1.38/0.53  % (4138)Instructions burned: 3 (million)
% 1.38/0.53  % (4138)------------------------------
% 1.38/0.53  % (4138)------------------------------
% 1.38/0.53  % (4140)Instruction limit reached!
% 1.38/0.53  % (4140)------------------------------
% 1.38/0.53  % (4140)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.38/0.53  % (4140)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.38/0.53  % (4140)Termination reason: Unknown
% 1.38/0.53  % (4140)Termination phase: Saturation
% 1.38/0.53  
% 1.38/0.53  % (4140)Memory used [KB]: 6780
% 1.38/0.53  % (4140)Time elapsed: 0.129 s
% 1.38/0.53  % (4140)Instructions burned: 13 (million)
% 1.38/0.53  % (4140)------------------------------
% 1.38/0.53  % (4140)------------------------------
% 1.38/0.54  % (4157)ott+21_1:1_erd=off:s2a=on:sac=on:sd=1:sgt=64:sos=on:ss=included:st=3.0:to=lpo:urr=on:i=99:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/99Mi)
% 1.38/0.54  % (4143)lrs+2_1:1_lcm=reverse:lma=on:sos=all:spb=goal_then_units:ss=included:urr=on:i=39:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/39Mi)
% 1.38/0.54  % (4149)lrs+10_1:32_br=off:nm=16:sd=2:ss=axioms:st=2.0:urr=on:i=51:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/51Mi)
% 1.38/0.54  % (4164)dis+2_3:1_aac=none:abs=on:ep=R:lcm=reverse:nwc=10.0:sos=on:sp=const_frequency:spb=units:urr=ec_only:i=8:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/8Mi)
% 1.38/0.54  % (4156)dis+1010_1:1_bs=on:ep=RS:erd=off:newcnf=on:nwc=10.0:s2a=on:sgt=32:ss=axioms:i=30:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/30Mi)
% 1.38/0.54  % (4164)Instruction limit reached!
% 1.38/0.54  % (4164)------------------------------
% 1.38/0.54  % (4164)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.38/0.54  % (4164)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.38/0.54  % (4164)Termination reason: Unknown
% 1.38/0.54  % (4164)Termination phase: Saturation
% 1.38/0.54  
% 1.38/0.54  % (4164)Memory used [KB]: 6652
% 1.38/0.54  % (4164)Time elapsed: 0.006 s
% 1.38/0.54  % (4164)Instructions burned: 10 (million)
% 1.38/0.54  % (4164)------------------------------
% 1.38/0.54  % (4164)------------------------------
% 1.38/0.54  % (4161)lrs+11_1:1_plsq=on:plsqc=1:plsqr=32,1:ss=included:i=95:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/95Mi)
% 1.38/0.54  % (4154)ott+1010_1:1_sd=2:sos=on:sp=occurrence:ss=axioms:urr=on:i=2:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/2Mi)
% 1.38/0.54  % (4154)Instruction limit reached!
% 1.38/0.54  % (4154)------------------------------
% 1.38/0.54  % (4154)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.38/0.54  % (4151)Instruction limit reached!
% 1.38/0.54  % (4151)------------------------------
% 1.38/0.54  % (4151)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.38/0.54  % (4154)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.38/0.54  % (4151)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.38/0.54  % (4154)Termination reason: Unknown
% 1.38/0.54  % (4151)Termination reason: Unknown
% 1.38/0.54  % (4154)Termination phase: Preprocessing 1
% 1.38/0.54  % (4151)Termination phase: Saturation
% 1.38/0.54  
% 1.38/0.54  
% 1.38/0.54  % (4154)Memory used [KB]: 1663
% 1.38/0.54  % (4151)Memory used [KB]: 6652
% 1.38/0.54  % (4154)Time elapsed: 0.002 s
% 1.38/0.54  % (4151)Time elapsed: 0.006 s
% 1.38/0.54  % (4154)Instructions burned: 2 (million)
% 1.38/0.54  % (4151)Instructions burned: 8 (million)
% 1.38/0.54  % (4154)------------------------------
% 1.38/0.54  % (4154)------------------------------
% 1.38/0.54  % (4151)------------------------------
% 1.38/0.54  % (4151)------------------------------
% 1.38/0.54  % (4146)Instruction limit reached!
% 1.38/0.54  % (4146)------------------------------
% 1.38/0.54  % (4146)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.38/0.54  % (4146)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.38/0.54  % (4146)Termination reason: Unknown
% 1.38/0.54  % (4146)Termination phase: Saturation
% 1.38/0.54  
% 1.38/0.54  % (4146)Memory used [KB]: 6780
% 1.38/0.54  % (4146)Time elapsed: 0.141 s
% 1.38/0.54  % (4146)Instructions burned: 12 (million)
% 1.38/0.54  % (4146)------------------------------
% 1.38/0.54  % (4146)------------------------------
% 1.38/0.54  % (4158)dis+1010_2:3_fs=off:fsr=off:nm=0:nwc=5.0:s2a=on:s2agt=32:i=82:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/82Mi)
% 1.38/0.55  % (4152)lrs+1011_1:1_fd=preordered:fsd=on:sos=on:thsq=on:thsqc=64:thsqd=32:uwa=ground:i=50:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/50Mi)
% 1.38/0.55  % (4153)fmb+10_1:1_nm=2:i=3:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/3Mi)
% 1.38/0.55  % (4137)lrs+10_1:1_gsp=on:sd=1:sgt=32:sos=on:ss=axioms:i=13:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/13Mi)
% 1.38/0.55  % (4153)Instruction limit reached!
% 1.38/0.55  % (4153)------------------------------
% 1.38/0.55  % (4153)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.38/0.55  % (4153)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.38/0.55  % (4153)Termination reason: Unknown
% 1.38/0.55  % (4153)Termination phase: Preprocessing 2
% 1.38/0.55  
% 1.38/0.55  % (4153)Memory used [KB]: 1663
% 1.38/0.55  % (4153)Time elapsed: 0.002 s
% 1.38/0.55  % (4153)Instructions burned: 3 (million)
% 1.38/0.55  % (4153)------------------------------
% 1.38/0.55  % (4153)------------------------------
% 1.53/0.55  % (4141)Instruction limit reached!
% 1.53/0.55  % (4141)------------------------------
% 1.53/0.55  % (4141)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.53/0.55  % (4141)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.53/0.55  % (4141)Termination reason: Unknown
% 1.53/0.55  % (4141)Termination phase: Saturation
% 1.53/0.55  
% 1.53/0.55  % (4141)Memory used [KB]: 1918
% 1.53/0.55  % (4141)Time elapsed: 0.147 s
% 1.53/0.55  % (4141)Instructions burned: 15 (million)
% 1.53/0.55  % (4141)------------------------------
% 1.53/0.55  % (4141)------------------------------
% 1.53/0.55  % (4148)Instruction limit reached!
% 1.53/0.55  % (4148)------------------------------
% 1.53/0.55  % (4148)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.53/0.55  % (4148)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.53/0.55  % (4148)Termination reason: Unknown
% 1.53/0.55  % (4148)Termination phase: Saturation
% 1.53/0.55  
% 1.53/0.55  % (4148)Memory used [KB]: 1918
% 1.53/0.55  % (4148)Time elapsed: 0.117 s
% 1.53/0.55  % (4148)Instructions burned: 17 (million)
% 1.53/0.55  % (4148)------------------------------
% 1.53/0.55  % (4148)------------------------------
% 1.53/0.55  % (4163)dis+21_1:1_aac=none:abs=on:er=known:fde=none:fsr=off:nwc=5.0:s2a=on:s2at=4.0:sp=const_frequency:to=lpo:urr=ec_only:i=25:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/25Mi)
% 1.53/0.55  % (4165)Instruction limit reached!
% 1.53/0.55  % (4165)------------------------------
% 1.53/0.55  % (4165)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.53/0.55  % (4165)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.53/0.55  % (4165)Termination reason: Unknown
% 1.53/0.55  % (4165)Termination phase: Saturation
% 1.53/0.55  
% 1.53/0.55  % (4165)Memory used [KB]: 6780
% 1.53/0.55  % (4165)Time elapsed: 0.138 s
% 1.53/0.55  % (4165)Instructions burned: 25 (million)
% 1.53/0.55  % (4165)------------------------------
% 1.53/0.55  % (4165)------------------------------
% 1.53/0.56  % (4137)Instruction limit reached!
% 1.53/0.56  % (4137)------------------------------
% 1.53/0.56  % (4137)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.53/0.56  % (4137)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.53/0.56  % (4137)Termination reason: Unknown
% 1.53/0.56  % (4137)Termination phase: Saturation
% 1.53/0.56  
% 1.53/0.56  % (4137)Memory used [KB]: 6908
% 1.53/0.56  % (4137)Time elapsed: 0.008 s
% 1.53/0.56  % (4137)Instructions burned: 13 (million)
% 1.53/0.56  % (4137)------------------------------
% 1.53/0.56  % (4137)------------------------------
% 1.53/0.57  % (4156)Instruction limit reached!
% 1.53/0.57  % (4156)------------------------------
% 1.53/0.57  % (4156)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.53/0.57  % (4156)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.53/0.57  % (4156)Termination reason: Unknown
% 1.53/0.57  % (4156)Termination phase: Saturation
% 1.53/0.57  
% 1.53/0.57  % (4156)Memory used [KB]: 7164
% 1.53/0.57  % (4156)Time elapsed: 0.167 s
% 1.53/0.57  % (4156)Instructions burned: 31 (million)
% 1.53/0.57  % (4156)------------------------------
% 1.53/0.57  % (4156)------------------------------
% 1.53/0.58  % (4155)dis-10_3:2_amm=sco:ep=RS:fsr=off:nm=10:sd=2:sos=on:ss=axioms:st=3.0:i=11:si=on:rawr=on:rtra=on_0 on theBenchmark for (2999ds/11Mi)
% 1.53/0.58  % (4155)Instruction limit reached!
% 1.53/0.58  % (4155)------------------------------
% 1.53/0.58  % (4155)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.53/0.58  % (4155)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.53/0.58  % (4155)Termination reason: Unknown
% 1.53/0.58  % (4155)Termination phase: Saturation
% 1.53/0.58  
% 1.53/0.58  % (4155)Memory used [KB]: 6780
% 1.53/0.58  % (4155)Time elapsed: 0.186 s
% 1.53/0.58  % (4155)Instructions burned: 11 (million)
% 1.53/0.58  % (4155)------------------------------
% 1.53/0.58  % (4155)------------------------------
% 1.53/0.59  % (4145)Instruction limit reached!
% 1.53/0.59  % (4145)------------------------------
% 1.53/0.59  % (4145)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.53/0.59  % (4145)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.53/0.59  % (4145)Termination reason: Unknown
% 1.53/0.59  % (4145)Termination phase: Saturation
% 1.53/0.59  
% 1.53/0.59  % (4145)Memory used [KB]: 7291
% 1.53/0.59  % (4145)Time elapsed: 0.183 s
% 1.53/0.59  % (4145)Instructions burned: 34 (million)
% 1.53/0.59  % (4145)------------------------------
% 1.53/0.59  % (4145)------------------------------
% 1.53/0.59  % (4163)Instruction limit reached!
% 1.53/0.59  % (4163)------------------------------
% 1.53/0.59  % (4163)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.53/0.59  % (4163)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.53/0.59  % (4163)Termination reason: Unknown
% 1.53/0.59  % (4163)Termination phase: Saturation
% 1.53/0.59  
% 1.53/0.59  % (4163)Memory used [KB]: 7036
% 1.53/0.59  % (4163)Time elapsed: 0.199 s
% 1.53/0.59  % (4163)Instructions burned: 25 (million)
% 1.53/0.59  % (4163)------------------------------
% 1.53/0.59  % (4163)------------------------------
% 1.53/0.60  % (4159)Instruction limit reached!
% 1.53/0.60  % (4159)------------------------------
% 1.53/0.60  % (4159)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.53/0.60  % (4159)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.53/0.60  % (4159)Termination reason: Unknown
% 1.53/0.60  % (4159)Termination phase: Saturation
% 1.53/0.60  
% 1.53/0.60  % (4159)Memory used [KB]: 2046
% 1.53/0.60  % (4159)Time elapsed: 0.159 s
% 1.53/0.60  % (4159)Instructions burned: 45 (million)
% 1.53/0.60  % (4159)------------------------------
% 1.53/0.60  % (4159)------------------------------
% 1.53/0.60  % (4142)Instruction limit reached!
% 1.53/0.60  % (4142)------------------------------
% 1.53/0.60  % (4142)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.53/0.60  % (4142)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.53/0.60  % (4142)Termination reason: Unknown
% 1.53/0.60  % (4142)Termination phase: Saturation
% 1.53/0.60  
% 1.53/0.60  % (4142)Memory used [KB]: 7164
% 1.53/0.60  % (4142)Time elapsed: 0.203 s
% 1.53/0.60  % (4142)Instructions burned: 39 (million)
% 1.53/0.60  % (4142)------------------------------
% 1.53/0.60  % (4142)------------------------------
% 1.53/0.60  % (4158)First to succeed.
% 1.53/0.61  % (4143)Instruction limit reached!
% 1.53/0.61  % (4143)------------------------------
% 1.53/0.61  % (4143)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.53/0.61  % (4143)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.53/0.61  % (4143)Termination reason: Unknown
% 1.53/0.61  % (4143)Termination phase: Saturation
% 1.53/0.61  
% 1.53/0.61  % (4143)Memory used [KB]: 7547
% 1.53/0.61  % (4143)Time elapsed: 0.180 s
% 1.53/0.61  % (4143)Instructions burned: 39 (million)
% 1.53/0.61  % (4143)------------------------------
% 1.53/0.61  % (4143)------------------------------
% 1.53/0.61  % (4139)Instruction limit reached!
% 1.53/0.61  % (4139)------------------------------
% 1.53/0.61  % (4139)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.53/0.61  % (4139)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.53/0.61  % (4139)Termination reason: Unknown
% 1.53/0.61  % (4139)Termination phase: Saturation
% 1.53/0.61  
% 1.53/0.61  % (4139)Memory used [KB]: 7803
% 1.53/0.61  % (4139)Time elapsed: 0.172 s
% 1.53/0.61  % (4139)Instructions burned: 51 (million)
% 1.53/0.61  % (4139)------------------------------
% 1.53/0.61  % (4139)------------------------------
% 1.53/0.62  % (4152)Instruction limit reached!
% 1.53/0.62  % (4152)------------------------------
% 1.53/0.62  % (4152)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.53/0.62  % (4152)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.53/0.62  % (4152)Termination reason: Unknown
% 1.53/0.62  % (4152)Termination phase: Saturation
% 1.53/0.62  
% 1.53/0.62  % (4152)Memory used [KB]: 7419
% 1.53/0.62  % (4152)Time elapsed: 0.208 s
% 1.53/0.62  % (4152)Instructions burned: 51 (million)
% 1.53/0.62  % (4152)------------------------------
% 1.53/0.62  % (4152)------------------------------
% 1.98/0.63  % (4160)Instruction limit reached!
% 1.98/0.63  % (4160)------------------------------
% 1.98/0.63  % (4160)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.98/0.63  % (4160)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.98/0.63  % (4160)Termination reason: Unknown
% 1.98/0.63  % (4160)Termination phase: Saturation
% 1.98/0.63  
% 1.98/0.63  % (4160)Memory used [KB]: 7291
% 1.98/0.63  % (4160)Time elapsed: 0.187 s
% 1.98/0.63  % (4160)Instructions burned: 50 (million)
% 1.98/0.63  % (4160)------------------------------
% 1.98/0.63  % (4160)------------------------------
% 1.98/0.63  % (4144)Instruction limit reached!
% 1.98/0.63  % (4144)------------------------------
% 1.98/0.63  % (4144)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.98/0.63  % (4144)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.98/0.63  % (4144)Termination reason: Unknown
% 1.98/0.63  % (4144)Termination phase: Saturation
% 1.98/0.63  
% 1.98/0.63  % (4144)Memory used [KB]: 7675
% 1.98/0.63  % (4144)Time elapsed: 0.228 s
% 1.98/0.63  % (4144)Instructions burned: 49 (million)
% 1.98/0.63  % (4144)------------------------------
% 1.98/0.63  % (4144)------------------------------
% 1.98/0.63  % (4136)Also succeeded, but the first one will report.
% 1.98/0.63  % (4149)Instruction limit reached!
% 1.98/0.63  % (4149)------------------------------
% 1.98/0.63  % (4149)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.98/0.63  % (4149)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.98/0.63  % (4149)Termination reason: Unknown
% 1.98/0.63  % (4149)Termination phase: Saturation
% 1.98/0.63  
% 1.98/0.63  % (4149)Memory used [KB]: 7803
% 1.98/0.63  % (4149)Time elapsed: 0.228 s
% 1.98/0.63  % (4149)Instructions burned: 51 (million)
% 1.98/0.63  % (4149)------------------------------
% 1.98/0.63  % (4149)------------------------------
% 1.98/0.63  % (4158)Refutation found. Thanks to Tanya!
% 1.98/0.63  % SZS status Theorem for theBenchmark
% 1.98/0.63  % SZS output start Proof for theBenchmark
% See solution above
% 1.98/0.63  % (4158)------------------------------
% 1.98/0.63  % (4158)Version: Vampire 4.7 (commit 807e37dd9 on 2022-08-23 09:55:27 +0200)
% 1.98/0.63  % (4158)Linked with Z3 4.8.13.0 f03d756e086f81f2596157241e0decfb1c982299 z3-4.8.4-5390-gf03d756e0
% 1.98/0.63  % (4158)Termination reason: Refutation
% 1.98/0.63  
% 1.98/0.63  % (4158)Memory used [KB]: 7803
% 1.98/0.63  % (4158)Time elapsed: 0.217 s
% 1.98/0.63  % (4158)Instructions burned: 32 (million)
% 1.98/0.63  % (4158)------------------------------
% 1.98/0.63  % (4158)------------------------------
% 1.98/0.63  % (4135)Success in time 0.289 s
%------------------------------------------------------------------------------