ITP001 Axioms: ITP074_7.ax


%------------------------------------------------------------------------------
% File     : ITP074_7 : TPTP v8.2.0. Bugfixed v7.5.0.
% Domain   : Interactive Theorem Proving
% Axioms   : HOL4 syntactic export, chainy mode
% Version  : [BG+19] axioms.
% English  :

% Refs     : [BG+19] Brown et al. (2019), GRUNGE: A Grand Unified ATP Chall
%          : [Gau19] Gauthier (2019), Email to Geoff Sutcliffe
% Source   : [BG+19]
% Names    : ring.ax [Gau19]
%          : HL4074_7.ax [TPAP]

% Status   : Satisfiable
% Syntax   : Number of formulae    :  206 (  68 unt;  92 typ;   0 def)
%            Number of atoms       :  259 ( 200 equ)
%            Maximal formula atoms :   25 (   1 avg)
%            Number of connectives :  148 (   3   ~;   3   |;  96   &)
%                                         (  21 <=>;  25  =>;   0  <=;   0 <~>)
%            Maximal formula depth :   28 (   6 avg)
%            Maximal term depth    :   14 (   2 avg)
%            Number of types       :    3 (   2 usr)
%            Number of type conns  :   80 (  48   >;  32   *;   0   +;   0  <<)
%            Number of predicates  :    2 (   1 usr;   0 prp; 1-2 aty)
%            Number of functors    :   89 (  89 usr;  11 con; 0-6 aty)
%            Number of variables   :  753 ( 642   !;  29   ?; 753   :)
%                                         (  82  !>;   0  ?*;   0  @-;   0  @+)
% SPC      : TF1_SAT_EQU_NAR

% Comments :
% Bugfixes : v7.5.0 - Fixes to the axioms.
%------------------------------------------------------------------------------
tff(tyop_2Eind__type_2Erecspace,type,
    tyop_2Eind__type_2Erecspace: $tType > $tType ).

tff(tyop_2Emin_2Ebool,type,
    tyop_2Emin_2Ebool: $tType ).

tff(tyop_2Emin_2Efun,type,
    tyop_2Emin_2Efun: ( $tType * $tType ) > $tType ).

tff(tyop_2Enum_2Enum,type,
    tyop_2Enum_2Enum: $tType ).

tff(tyop_2Epair_2Eprod,type,
    tyop_2Epair_2Eprod: ( $tType * $tType ) > $tType ).

tff(tyop_2Ering_2Ering,type,
    tyop_2Ering_2Ering: $tType > $tType ).

tff(tyop_2Esemi__ring_2Esemi__ring,type,
    tyop_2Esemi__ring_2Esemi__ring: $tType > $tType ).

tff(app_2E2,type,
    app_2E2: 
      !>[A_27a: $tType,A_27b: $tType] : ( ( tyop_2Emin_2Efun(A_27a,A_27b) * A_27a ) > A_27b ) ).

tff(p,type,
    p: tyop_2Emin_2Ebool > $o ).

tff(combin_i_2E0,type,
    combin_i_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(A_27a,A_27a) ).

tff(combin_k_2E0,type,
    combin_k_2E0: 
      !>[A_27a: $tType,A_27b: $tType] : tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27b,A_27a)) ).

tff(combin_s_2E0,type,
    combin_s_2E0: 
      !>[A_27a: $tType,A_27b: $tType,A_27c: $tType] : tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27b,A_27c)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27b),tyop_2Emin_2Efun(A_27a,A_27c))) ).

tff(c_2Ebool_2E_21_2E0,type,
    c_2Ebool_2E_21_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Ebool),tyop_2Emin_2Ebool) ).

tff(c_2Ebool_2E_21_2E1,type,
    c_2Ebool_2E_21_2E1: 
      !>[A_27a: $tType] : ( tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Ebool) > tyop_2Emin_2Ebool ) ).

tff(c_2Earithmetic_2E_2B_2E0,type,
    c_2Earithmetic_2E_2B_2E0: tyop_2Emin_2Efun(tyop_2Enum_2Enum,tyop_2Emin_2Efun(tyop_2Enum_2Enum,tyop_2Enum_2Enum)) ).

tff(c_2Earithmetic_2E_2B_2E2,type,
    c_2Earithmetic_2E_2B_2E2: ( tyop_2Enum_2Enum * tyop_2Enum_2Enum ) > tyop_2Enum_2Enum ).

tff(c_2Epair_2E_2C_2E0,type,
    c_2Epair_2E_2C_2E0: 
      !>[A_27a: $tType,A_27b: $tType] : tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27b,tyop_2Epair_2Eprod(A_27a,A_27b))) ).

tff(c_2Epair_2E_2C_2E2,type,
    c_2Epair_2E_2C_2E2: 
      !>[A_27a: $tType,A_27b: $tType] : ( ( A_27a * A_27b ) > tyop_2Epair_2Eprod(A_27a,A_27b) ) ).

tff(c_2Ebool_2E_2F_5C_2E0,type,
    c_2Ebool_2E_2F_5C_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Ebool,tyop_2Emin_2Efun(tyop_2Emin_2Ebool,tyop_2Emin_2Ebool)) ).

tff(c_2Ebool_2E_2F_5C_2E2,type,
    c_2Ebool_2E_2F_5C_2E2: ( tyop_2Emin_2Ebool * tyop_2Emin_2Ebool ) > tyop_2Emin_2Ebool ).

tff(c_2Enum_2E0_2E0,type,
    c_2Enum_2E0_2E0: tyop_2Enum_2Enum ).

tff(c_2Emin_2E_3D_2E0,type,
    c_2Emin_2E_3D_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Ebool)) ).

tff(c_2Emin_2E_3D_2E2,type,
    c_2Emin_2E_3D_2E2: 
      !>[A_27a: $tType] : ( ( A_27a * A_27a ) > tyop_2Emin_2Ebool ) ).

tff(c_2Emin_2E_3D_3D_3E_2E0,type,
    c_2Emin_2E_3D_3D_3E_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Ebool,tyop_2Emin_2Efun(tyop_2Emin_2Ebool,tyop_2Emin_2Ebool)) ).

tff(c_2Emin_2E_3D_3D_3E_2E2,type,
    c_2Emin_2E_3D_3D_3E_2E2: ( tyop_2Emin_2Ebool * tyop_2Emin_2Ebool ) > tyop_2Emin_2Ebool ).

tff(c_2Ebool_2E_3F_2E0,type,
    c_2Ebool_2E_3F_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Ebool),tyop_2Emin_2Ebool) ).

tff(c_2Ebool_2E_3F_2E1,type,
    c_2Ebool_2E_3F_2E1: 
      !>[A_27a: $tType] : ( tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Ebool) > tyop_2Emin_2Ebool ) ).

tff(c_2Ebool_2EARB_2E0,type,
    c_2Ebool_2EARB_2E0: 
      !>[A_27a: $tType] : A_27a ).

tff(c_2Earithmetic_2EBIT1_2E0,type,
    c_2Earithmetic_2EBIT1_2E0: tyop_2Emin_2Efun(tyop_2Enum_2Enum,tyop_2Enum_2Enum) ).

tff(c_2Earithmetic_2EBIT1_2E1,type,
    c_2Earithmetic_2EBIT1_2E1: tyop_2Enum_2Enum > tyop_2Enum_2Enum ).

tff(c_2Eind__type_2EBOTTOM_2E0,type,
    c_2Eind__type_2EBOTTOM_2E0: 
      !>[A_27a: $tType] : tyop_2Eind__type_2Erecspace(A_27a) ).

tff(c_2Eind__type_2ECONSTR_2E0,type,
    c_2Eind__type_2ECONSTR_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(tyop_2Enum_2Enum,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(tyop_2Enum_2Enum,tyop_2Eind__type_2Erecspace(A_27a)),tyop_2Eind__type_2Erecspace(A_27a)))) ).

tff(c_2Eind__type_2ECONSTR_2E3,type,
    c_2Eind__type_2ECONSTR_2E3: 
      !>[A_27a: $tType] : ( ( tyop_2Enum_2Enum * A_27a * tyop_2Emin_2Efun(tyop_2Enum_2Enum,tyop_2Eind__type_2Erecspace(A_27a)) ) > tyop_2Eind__type_2Erecspace(A_27a) ) ).

tff(c_2Ebool_2EDATATYPE_2E0,type,
    c_2Ebool_2EDATATYPE_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Ebool) ).

tff(c_2Ebool_2EDATATYPE_2E1,type,
    c_2Ebool_2EDATATYPE_2E1: 
      !>[A_27a: $tType] : ( A_27a > tyop_2Emin_2Ebool ) ).

tff(c_2Ebool_2EF_2E0,type,
    c_2Ebool_2EF_2E0: tyop_2Emin_2Ebool ).

tff(c_2Ecombin_2EK_2E0,type,
    c_2Ecombin_2EK_2E0: 
      !>[A_27a: $tType,A_27b: $tType] : tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27b,A_27a)) ).

tff(c_2Ecombin_2EK_2E1,type,
    c_2Ecombin_2EK_2E1: 
      !>[A_27a: $tType,A_27b: $tType] : ( A_27a > tyop_2Emin_2Efun(A_27b,A_27a) ) ).

tff(c_2Earithmetic_2ENUMERAL_2E0,type,
    c_2Earithmetic_2ENUMERAL_2E0: tyop_2Emin_2Efun(tyop_2Enum_2Enum,tyop_2Enum_2Enum) ).

tff(c_2Earithmetic_2ENUMERAL_2E1,type,
    c_2Earithmetic_2ENUMERAL_2E1: tyop_2Enum_2Enum > tyop_2Enum_2Enum ).

tff(c_2Ebool_2ET_2E0,type,
    c_2Ebool_2ET_2E0: tyop_2Emin_2Ebool ).

tff(c_2Ebool_2ETYPE__DEFINITION_2E0,type,
    c_2Ebool_2ETYPE__DEFINITION_2E0: 
      !>[A_27a: $tType,A_27b: $tType] : tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Ebool),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27b,A_27a),tyop_2Emin_2Ebool)) ).

tff(c_2Ebool_2ETYPE__DEFINITION_2E2,type,
    c_2Ebool_2ETYPE__DEFINITION_2E2: 
      !>[A_27a: $tType,A_27b: $tType] : ( ( tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Ebool) * tyop_2Emin_2Efun(A_27b,A_27a) ) > tyop_2Emin_2Ebool ) ).

tff(c_2Earithmetic_2EZERO_2E0,type,
    c_2Earithmetic_2EZERO_2E0: tyop_2Enum_2Enum ).

tff(c_2Ebool_2E_5C_2F_2E0,type,
    c_2Ebool_2E_5C_2F_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Ebool,tyop_2Emin_2Efun(tyop_2Emin_2Ebool,tyop_2Emin_2Ebool)) ).

tff(c_2Ebool_2E_5C_2F_2E2,type,
    c_2Ebool_2E_5C_2F_2E2: ( tyop_2Emin_2Ebool * tyop_2Emin_2Ebool ) > tyop_2Emin_2Ebool ).

tff(c_2Ering_2Eis__ring_2E0,type,
    c_2Ering_2Eis__ring_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Ebool) ).

tff(c_2Ering_2Eis__ring_2E1,type,
    c_2Ering_2Eis__ring_2E1: 
      !>[A_27a: $tType] : ( tyop_2Ering_2Ering(A_27a) > tyop_2Emin_2Ebool ) ).

tff(c_2Esemi__ring_2Eis__semi__ring_2E0,type,
    c_2Esemi__ring_2Eis__semi__ring_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(tyop_2Esemi__ring_2Esemi__ring(A_27a),tyop_2Emin_2Ebool) ).

tff(c_2Esemi__ring_2Eis__semi__ring_2E1,type,
    c_2Esemi__ring_2Eis__semi__ring_2E1: 
      !>[A_27a: $tType] : ( tyop_2Esemi__ring_2Esemi__ring(A_27a) > tyop_2Emin_2Ebool ) ).

tff(c_2Ecombin_2Eo_2E0,type,
    c_2Ecombin_2Eo_2E0: 
      !>[A_27a: $tType,A_27b: $tType,A_27c: $tType] : tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27c,A_27b),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27c),tyop_2Emin_2Efun(A_27a,A_27b))) ).

tff(c_2Ecombin_2Eo_2E2,type,
    c_2Ecombin_2Eo_2E2: 
      !>[A_27a: $tType,A_27b: $tType,A_27c: $tType] : ( ( tyop_2Emin_2Efun(A_27c,A_27b) * tyop_2Emin_2Efun(A_27a,A_27c) ) > tyop_2Emin_2Efun(A_27a,A_27b) ) ).

tff(c_2Ering_2Erecordtype_2Ering_2E0,type,
    c_2Ering_2Erecordtype_2Ering_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Ering_2Ering(A_27a)))))) ).

tff(c_2Ering_2Erecordtype_2Ering_2E5,type,
    c_2Ering_2Erecordtype_2Ering_2E5: 
      !>[A_27a: $tType] : ( ( A_27a * A_27a * tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)) * tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)) * tyop_2Emin_2Efun(A_27a,A_27a) ) > tyop_2Ering_2Ering(A_27a) ) ).

tff(c_2Esemi__ring_2Erecordtype_2Esemi__ring_2E0,type,
    c_2Esemi__ring_2Erecordtype_2Esemi__ring_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Esemi__ring_2Esemi__ring(A_27a))))) ).

tff(c_2Esemi__ring_2Erecordtype_2Esemi__ring_2E4,type,
    c_2Esemi__ring_2Erecordtype_2Esemi__ring_2E4: 
      !>[A_27a: $tType] : ( ( A_27a * A_27a * tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)) * tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)) ) > tyop_2Esemi__ring_2Esemi__ring(A_27a) ) ).

tff(c_2Ering_2Ering__CASE_2E0,type,
    c_2Ering_2Ering__CASE_2E0: 
      !>[A_27a: $tType,A_27b: $tType] : tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b))))),A_27b)) ).

tff(c_2Ering_2Ering__CASE_2E2,type,
    c_2Ering_2Ering__CASE_2E2: 
      !>[A_27a: $tType,A_27b: $tType] : ( ( tyop_2Ering_2Ering(A_27a) * tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b))))) ) > A_27b ) ).

tff(c_2Ering_2Ering__R0_2E0,type,
    c_2Ering_2Ering__R0_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),A_27a) ).

tff(c_2Ering_2Ering__R0_2E1,type,
    c_2Ering_2Ering__R0_2E1: 
      !>[A_27a: $tType] : ( tyop_2Ering_2Ering(A_27a) > A_27a ) ).

tff(c_2Ering_2Ering__R0__fupd_2E0,type,
    c_2Ering_2Ering__R0__fupd_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a))) ).

tff(c_2Ering_2Ering__R0__fupd_2E1,type,
    c_2Ering_2Ering__R0__fupd_2E1: 
      !>[A_27a: $tType] : ( tyop_2Emin_2Efun(A_27a,A_27a) > tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)) ) ).

tff(c_2Ering_2Ering__R0__fupd_2E2,type,
    c_2Ering_2Ering__R0__fupd_2E2: 
      !>[A_27a: $tType] : ( ( tyop_2Emin_2Efun(A_27a,A_27a) * tyop_2Ering_2Ering(A_27a) ) > tyop_2Ering_2Ering(A_27a) ) ).

tff(c_2Ering_2Ering__R1_2E0,type,
    c_2Ering_2Ering__R1_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),A_27a) ).

tff(c_2Ering_2Ering__R1_2E1,type,
    c_2Ering_2Ering__R1_2E1: 
      !>[A_27a: $tType] : ( tyop_2Ering_2Ering(A_27a) > A_27a ) ).

tff(c_2Ering_2Ering__R1__fupd_2E0,type,
    c_2Ering_2Ering__R1__fupd_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a))) ).

tff(c_2Ering_2Ering__R1__fupd_2E1,type,
    c_2Ering_2Ering__R1__fupd_2E1: 
      !>[A_27a: $tType] : ( tyop_2Emin_2Efun(A_27a,A_27a) > tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)) ) ).

tff(c_2Ering_2Ering__R1__fupd_2E2,type,
    c_2Ering_2Ering__R1__fupd_2E2: 
      !>[A_27a: $tType] : ( ( tyop_2Emin_2Efun(A_27a,A_27a) * tyop_2Ering_2Ering(A_27a) ) > tyop_2Ering_2Ering(A_27a) ) ).

tff(c_2Ering_2Ering__RM_2E0,type,
    c_2Ering_2Ering__RM_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))) ).

tff(c_2Ering_2Ering__RM_2E1,type,
    c_2Ering_2Ering__RM_2E1: 
      !>[A_27a: $tType] : ( tyop_2Ering_2Ering(A_27a) > tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)) ) ).

tff(c_2Ering_2Ering__RM_2E3,type,
    c_2Ering_2Ering__RM_2E3: 
      !>[A_27a: $tType] : ( ( tyop_2Ering_2Ering(A_27a) * A_27a * A_27a ) > A_27a ) ).

tff(c_2Ering_2Ering__RM__fupd_2E0,type,
    c_2Ering_2Ering__RM__fupd_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a))) ).

tff(c_2Ering_2Ering__RM__fupd_2E1,type,
    c_2Ering_2Ering__RM__fupd_2E1: 
      !>[A_27a: $tType] : ( tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))) > tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)) ) ).

tff(c_2Ering_2Ering__RM__fupd_2E2,type,
    c_2Ering_2Ering__RM__fupd_2E2: 
      !>[A_27a: $tType] : ( ( tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))) * tyop_2Ering_2Ering(A_27a) ) > tyop_2Ering_2Ering(A_27a) ) ).

tff(c_2Ering_2Ering__RN_2E0,type,
    c_2Ering_2Ering__RN_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Efun(A_27a,A_27a)) ).

tff(c_2Ering_2Ering__RN_2E1,type,
    c_2Ering_2Ering__RN_2E1: 
      !>[A_27a: $tType] : ( tyop_2Ering_2Ering(A_27a) > tyop_2Emin_2Efun(A_27a,A_27a) ) ).

tff(c_2Ering_2Ering__RN_2E2,type,
    c_2Ering_2Ering__RN_2E2: 
      !>[A_27a: $tType] : ( ( tyop_2Ering_2Ering(A_27a) * A_27a ) > A_27a ) ).

tff(c_2Ering_2Ering__RN__fupd_2E0,type,
    c_2Ering_2Ering__RN__fupd_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a))) ).

tff(c_2Ering_2Ering__RN__fupd_2E1,type,
    c_2Ering_2Ering__RN__fupd_2E1: 
      !>[A_27a: $tType] : ( tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)) > tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)) ) ).

tff(c_2Ering_2Ering__RN__fupd_2E2,type,
    c_2Ering_2Ering__RN__fupd_2E2: 
      !>[A_27a: $tType] : ( ( tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)) * tyop_2Ering_2Ering(A_27a) ) > tyop_2Ering_2Ering(A_27a) ) ).

tff(c_2Ering_2Ering__RP_2E0,type,
    c_2Ering_2Ering__RP_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))) ).

tff(c_2Ering_2Ering__RP_2E1,type,
    c_2Ering_2Ering__RP_2E1: 
      !>[A_27a: $tType] : ( tyop_2Ering_2Ering(A_27a) > tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)) ) ).

tff(c_2Ering_2Ering__RP_2E3,type,
    c_2Ering_2Ering__RP_2E3: 
      !>[A_27a: $tType] : ( ( tyop_2Ering_2Ering(A_27a) * A_27a * A_27a ) > A_27a ) ).

tff(c_2Ering_2Ering__RP__fupd_2E0,type,
    c_2Ering_2Ering__RP__fupd_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a))) ).

tff(c_2Ering_2Ering__RP__fupd_2E1,type,
    c_2Ering_2Ering__RP__fupd_2E1: 
      !>[A_27a: $tType] : ( tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))) > tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)) ) ).

tff(c_2Ering_2Ering__RP__fupd_2E2,type,
    c_2Ering_2Ering__RP__fupd_2E2: 
      !>[A_27a: $tType] : ( ( tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))) * tyop_2Ering_2Ering(A_27a) ) > tyop_2Ering_2Ering(A_27a) ) ).

tff(c_2Ering_2Ering__size_2E0,type,
    c_2Ering_2Ering__size_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Enum_2Enum),tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Enum_2Enum)) ).

tff(c_2Ering_2Ering__size_2E2,type,
    c_2Ering_2Ering__size_2E2: 
      !>[A_27a: $tType] : ( ( tyop_2Emin_2Efun(A_27a,tyop_2Enum_2Enum) * tyop_2Ering_2Ering(A_27a) ) > tyop_2Enum_2Enum ) ).

tff(c_2Ering_2Esemi__ring__of_2E0,type,
    c_2Ering_2Esemi__ring__of_2E0: 
      !>[A_27a: $tType] : tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Esemi__ring_2Esemi__ring(A_27a)) ).

tff(c_2Ering_2Esemi__ring__of_2E1,type,
    c_2Ering_2Esemi__ring__of_2E1: 
      !>[A_27a: $tType] : ( tyop_2Ering_2Ering(A_27a) > tyop_2Esemi__ring_2Esemi__ring(A_27a) ) ).

tff(c_2Ebool_2E_7E_2E0,type,
    c_2Ebool_2E_7E_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Ebool,tyop_2Emin_2Ebool) ).

tff(c_2Ebool_2E_7E_2E1,type,
    c_2Ebool_2E_7E_2E1: tyop_2Emin_2Ebool > tyop_2Emin_2Ebool ).

tff(thm_2Eextra_2Dho_2Eeq__ext,axiom,
    ! [A_27a: $tType,A_27b: $tType,V0f_2E0: tyop_2Emin_2Efun(A_27a,A_27b),V1g_2E0: tyop_2Emin_2Efun(A_27a,A_27b)] :
      ( ! [V2x_2E0: A_27a] : ( app_2E2(A_27a,A_27b,V0f_2E0,V2x_2E0) = app_2E2(A_27a,A_27b,V1g_2E0,V2x_2E0) )
     => ( V0f_2E0 = V1g_2E0 ) ) ).

tff(thm_2Eextra_2Dho_2Eboolext,axiom,
    ! [V0_2E0: tyop_2Emin_2Ebool,V1_2E0: tyop_2Emin_2Ebool] :
      ( ( p(V0_2E0)
      <=> p(V1_2E0) )
     => ( V0_2E0 = V1_2E0 ) ) ).

tff(thm_2Eextra_2Dho_2Etruth,axiom,
    p(c_2Ebool_2ET_2E0) ).

tff(thm_2Eextra_2Dho_2Enotfalse,axiom,
    ~ p(c_2Ebool_2EF_2E0) ).

tff(thm_2Eextra_2Dho_2Ebool__cases__ax,axiom,
    ! [V0t_2E0: tyop_2Emin_2Ebool] :
      ( ( V0t_2E0 = c_2Ebool_2ET_2E0 )
      | ( V0t_2E0 = c_2Ebool_2EF_2E0 ) ) ).

tff(thm_2Eextra_2Dho_2Ei__thm,axiom,
    ! [A_27a: $tType,V0x_2E0: A_27a] : ( app_2E2(A_27a,A_27a,combin_i_2E0(A_27a),V0x_2E0) = V0x_2E0 ) ).

tff(thm_2Eextra_2Dho_2Ek__thm,axiom,
    ! [A_27a: $tType,A_27b: $tType,V0x_2E0: A_27a,V1y_2E0: A_27b] : ( app_2E2(A_27b,A_27a,app_2E2(A_27a,tyop_2Emin_2Efun(A_27b,A_27a),combin_k_2E0(A_27a,A_27b),V0x_2E0),V1y_2E0) = V0x_2E0 ) ).

tff(thm_2Eextra_2Dho_2Es__thm,axiom,
    ! [A_27a: $tType,A_27b: $tType,A_27c: $tType,V0f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27b,A_27c)),V1g_2E0: tyop_2Emin_2Efun(A_27a,A_27b),V2x_2E0: A_27a] : ( app_2E2(A_27a,A_27c,app_2E2(tyop_2Emin_2Efun(A_27a,A_27b),tyop_2Emin_2Efun(A_27a,A_27c),app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27b,A_27c)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27b),tyop_2Emin_2Efun(A_27a,A_27c)),combin_s_2E0(A_27a,A_27b,A_27c),V0f_2E0),V1g_2E0),V2x_2E0) = app_2E2(A_27b,A_27c,app_2E2(A_27a,tyop_2Emin_2Efun(A_27b,A_27c),V0f_2E0,V2x_2E0),app_2E2(A_27a,A_27b,V1g_2E0,V2x_2E0)) ) ).

tff(logicdef_2E_2F_5C,axiom,
    ! [V0_2E0: tyop_2Emin_2Ebool,V1_2E0: tyop_2Emin_2Ebool] :
      ( p(c_2Ebool_2E_2F_5C_2E2(V0_2E0,V1_2E0))
    <=> ( p(V0_2E0)
        & p(V1_2E0) ) ) ).

tff(logicdef_2E_5C_2F,axiom,
    ! [V0_2E0: tyop_2Emin_2Ebool,V1_2E0: tyop_2Emin_2Ebool] :
      ( p(c_2Ebool_2E_5C_2F_2E2(V0_2E0,V1_2E0))
    <=> ( p(V0_2E0)
        | p(V1_2E0) ) ) ).

tff(logicdef_2E_7E,axiom,
    ! [V0_2E0: tyop_2Emin_2Ebool] :
      ( p(c_2Ebool_2E_7E_2E1(V0_2E0))
    <=> ~ p(V0_2E0) ) ).

tff(logicdef_2E_3D_3D_3E,axiom,
    ! [V0_2E0: tyop_2Emin_2Ebool,V1_2E0: tyop_2Emin_2Ebool] :
      ( p(c_2Emin_2E_3D_3D_3E_2E2(V0_2E0,V1_2E0))
    <=> ( p(V0_2E0)
       => p(V1_2E0) ) ) ).

tff(logicdef_2E_3D,axiom,
    ! [A_27a: $tType,V0_2E0: A_27a,V1_2E0: A_27a] :
      ( p(c_2Emin_2E_3D_2E2(A_27a,V0_2E0,V1_2E0))
    <=> ( V0_2E0 = V1_2E0 ) ) ).

tff(quantdef_2E_21,axiom,
    ! [A_27a: $tType,V0f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Ebool)] :
      ( p(c_2Ebool_2E_21_2E1(A_27a,V0f_2E0))
    <=> ! [V1x_2E0: A_27a] : p(app_2E2(A_27a,tyop_2Emin_2Ebool,V0f_2E0,V1x_2E0)) ) ).

tff(quantdef_2E_3F,axiom,
    ! [A_27a: $tType,V0f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Ebool)] :
      ( p(c_2Ebool_2E_3F_2E1(A_27a,V0f_2E0))
    <=> ? [V1x_2E0: A_27a] : p(app_2E2(A_27a,tyop_2Emin_2Ebool,V0f_2E0,V1x_2E0)) ) ).

tff(arityeq1_2Ec_2Ebool_2E_21_2E1_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Ebool)] : ( c_2Ebool_2E_21_2E1(A_27a,X0_2E0) = app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Ebool),tyop_2Emin_2Ebool,c_2Ebool_2E_21_2E0(A_27a),X0_2E0) ) ).

tff(arityeq2_2Ec_2Earithmetic_2E_2B_2E2,axiom,
    ! [X0_2E0: tyop_2Enum_2Enum,X1_2E0: tyop_2Enum_2Enum] : ( c_2Earithmetic_2E_2B_2E2(X0_2E0,X1_2E0) = app_2E2(tyop_2Enum_2Enum,tyop_2Enum_2Enum,app_2E2(tyop_2Enum_2Enum,tyop_2Emin_2Efun(tyop_2Enum_2Enum,tyop_2Enum_2Enum),c_2Earithmetic_2E_2B_2E0,X0_2E0),X1_2E0) ) ).

tff(arityeq2_2Ec_2Epair_2E_2C_2E2_2Emono_2EA_27a_20mono_2Etyop_2Epair_2Eprod_28A_27a_2Ctyop_2Epair_2Eprod_28tyop_2Emin_2Efun_28A_27a_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29_2Ctyop_2Epair_2Eprod_28tyop_2Emin_2Efun_28A_27a_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29_29_29,axiom,
    ! [A_27a: $tType,X0_2E0: A_27a,X1_2E0: tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))))] : ( c_2Epair_2E_2C_2E2(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))),X0_2E0,X1_2E0) = app_2E2(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))),tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))))),app_2E2(A_27a,tyop_2Emin_2Efun(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))),tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))))),c_2Epair_2E_2C_2E0(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))))),X0_2E0),X1_2E0) ) ).

tff(arityeq2_2Ec_2Epair_2E_2C_2E2_2Emono_2EA_27a_20mono_2Etyop_2Epair_2Eprod_28tyop_2Emin_2Efun_28A_27a_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29_2Ctyop_2Epair_2Eprod_28tyop_2Emin_2Efun_28A_27a_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29_29,axiom,
    ! [A_27a: $tType,X0_2E0: A_27a,X1_2E0: tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Epair_2E_2C_2E2(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))),X0_2E0,X1_2E0) = app_2E2(tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))),tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))),app_2E2(A_27a,tyop_2Emin_2Efun(tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))),tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))))),c_2Epair_2E_2C_2E0(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))),X0_2E0),X1_2E0) ) ).

tff(arityeq2_2Ec_2Epair_2E_2C_2E2_2Emono_2Etyop_2Emin_2Efun_28A_27a_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29_20mono_2Etyop_2Emin_2Efun_28A_27a_2CA_27a_29,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),X1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Epair_2E_2C_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a),X0_2E0,X1_2E0) = app_2E2(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)),app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))),c_2Epair_2E_2C_2E0(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)),X0_2E0),X1_2E0) ) ).

tff(arityeq2_2Ec_2Epair_2E_2C_2E2_2Emono_2Etyop_2Emin_2Efun_28A_27a_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29_20mono_2Etyop_2Epair_2Eprod_28tyop_2Emin_2Efun_28A_27a_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),X1_2E0: tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Epair_2E_2C_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)),X0_2E0,X1_2E0) = app_2E2(tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))),app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))),c_2Epair_2E_2C_2E0(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))),X0_2E0),X1_2E0) ) ).

tff(arityeq2_2Ec_2Ebool_2E_2F_5C_2E2,axiom,
    ! [X0_2E0: tyop_2Emin_2Ebool,X1_2E0: tyop_2Emin_2Ebool] :
      ( ( p(X0_2E0)
        & p(X1_2E0) )
    <=> p(app_2E2(tyop_2Emin_2Ebool,tyop_2Emin_2Ebool,app_2E2(tyop_2Emin_2Ebool,tyop_2Emin_2Efun(tyop_2Emin_2Ebool,tyop_2Emin_2Ebool),c_2Ebool_2E_2F_5C_2E0,X0_2E0),X1_2E0)) ) ).

tff(arityeq2_2Ec_2Emin_2E_3D_2E2_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: A_27a,X1_2E0: A_27a] :
      ( ( X0_2E0 = X1_2E0 )
    <=> p(app_2E2(A_27a,tyop_2Emin_2Ebool,app_2E2(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Ebool),c_2Emin_2E_3D_2E0(A_27a),X0_2E0),X1_2E0)) ) ).

tff(arityeq2_2Ec_2Emin_2E_3D_3D_3E_2E2,axiom,
    ! [X0_2E0: tyop_2Emin_2Ebool,X1_2E0: tyop_2Emin_2Ebool] :
      ( ( p(X0_2E0)
       => p(X1_2E0) )
    <=> p(app_2E2(tyop_2Emin_2Ebool,tyop_2Emin_2Ebool,app_2E2(tyop_2Emin_2Ebool,tyop_2Emin_2Efun(tyop_2Emin_2Ebool,tyop_2Emin_2Ebool),c_2Emin_2E_3D_3D_3E_2E0,X0_2E0),X1_2E0)) ) ).

tff(arityeq1_2Ec_2Ebool_2E_3F_2E1_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Ebool)] : ( c_2Ebool_2E_3F_2E1(A_27a,X0_2E0) = app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Ebool),tyop_2Emin_2Ebool,c_2Ebool_2E_3F_2E0(A_27a),X0_2E0) ) ).

tff(arityeq1_2Ec_2Earithmetic_2EBIT1_2E1,axiom,
    ! [X0_2E0: tyop_2Enum_2Enum] : ( c_2Earithmetic_2EBIT1_2E1(X0_2E0) = app_2E2(tyop_2Enum_2Enum,tyop_2Enum_2Enum,c_2Earithmetic_2EBIT1_2E0,X0_2E0) ) ).

tff(arityeq3_2Ec_2Eind__type_2ECONSTR_2E3_2Emono_2Etyop_2Epair_2Eprod_28A_27a_2Ctyop_2Epair_2Eprod_28A_27a_2Ctyop_2Epair_2Eprod_28tyop_2Emin_2Efun_28A_27a_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29_2Ctyop_2Epair_2Eprod_28tyop_2Emin_2Efun_28A_27a_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29_29_29_29,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Enum_2Enum,X1_2E0: tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))))),X2_2E0: tyop_2Emin_2Efun(tyop_2Enum_2Enum,tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))))))] : ( c_2Eind__type_2ECONSTR_2E3(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))))),X0_2E0,X1_2E0,X2_2E0) = app_2E2(tyop_2Emin_2Efun(tyop_2Enum_2Enum,tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))))))),tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))))),app_2E2(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))))),tyop_2Emin_2Efun(tyop_2Emin_2Efun(tyop_2Enum_2Enum,tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))))))),tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))))))),app_2E2(tyop_2Enum_2Enum,tyop_2Emin_2Efun(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))))),tyop_2Emin_2Efun(tyop_2Emin_2Efun(tyop_2Enum_2Enum,tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))))))),tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))))))),c_2Eind__type_2ECONSTR_2E0(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))))),X0_2E0),X1_2E0),X2_2E0) ) ).

tff(arityeq1_2Ec_2Ebool_2EDATATYPE_2E1_2Emono_2Etyop_2Emin_2Ebool,axiom,
    ! [X0_2E0: tyop_2Emin_2Ebool] : ( c_2Ebool_2EDATATYPE_2E1(tyop_2Emin_2Ebool,X0_2E0) = app_2E2(tyop_2Emin_2Ebool,tyop_2Emin_2Ebool,c_2Ebool_2EDATATYPE_2E0(tyop_2Emin_2Ebool),X0_2E0) ) ).

tff(arityeq1_2Ec_2Ecombin_2EK_2E1_2Emono_2EA_27a_20mono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: A_27a] : ( c_2Ecombin_2EK_2E1(A_27a,A_27a,X0_2E0) = app_2E2(A_27a,tyop_2Emin_2Efun(A_27a,A_27a),c_2Ecombin_2EK_2E0(A_27a,A_27a),X0_2E0) ) ).

tff(arityeq1_2Ec_2Ecombin_2EK_2E1_2Emono_2Etyop_2Emin_2Efun_28A_27a_2CA_27a_29_20mono_2Etyop_2Emin_2Efun_28A_27a_2CA_27a_29,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),X0_2E0) = app_2E2(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)),c_2Ecombin_2EK_2E0(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)),X0_2E0) ) ).

tff(arityeq1_2Ec_2Ecombin_2EK_2E1_2Emono_2Etyop_2Emin_2Efun_28A_27a_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29_20mono_2Etyop_2Emin_2Efun_28A_27a_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),X0_2E0) = app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),c_2Ecombin_2EK_2E0(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),X0_2E0) ) ).

tff(arityeq1_2Ec_2Earithmetic_2ENUMERAL_2E1,axiom,
    ! [X0_2E0: tyop_2Enum_2Enum] : ( c_2Earithmetic_2ENUMERAL_2E1(X0_2E0) = app_2E2(tyop_2Enum_2Enum,tyop_2Enum_2Enum,c_2Earithmetic_2ENUMERAL_2E0,X0_2E0) ) ).

tff(arityeq2_2Ec_2Ebool_2ETYPE__DEFINITION_2E2_2Emono_2Etyop_2Eind__type_2Erecspace_28tyop_2Epair_2Eprod_28A_27a_2Ctyop_2Epair_2Eprod_28A_27a_2Ctyop_2Epair_2Eprod_28tyop_2Emin_2Efun_28A_27a_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29_2Ctyop_2Epair_2Eprod_28tyop_2Emin_2Efun_28A_27a_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29_29_29_29_29_20mono_2Etyop_2Ering_2Ering_28A_27a_29,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))))),tyop_2Emin_2Ebool),X1_2E0: tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))))))] : ( c_2Ebool_2ETYPE__DEFINITION_2E2(tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))))),tyop_2Ering_2Ering(A_27a),X0_2E0,X1_2E0) = app_2E2(tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))))))),tyop_2Emin_2Ebool,app_2E2(tyop_2Emin_2Efun(tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))))),tyop_2Emin_2Ebool),tyop_2Emin_2Efun(tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))))))),tyop_2Emin_2Ebool),c_2Ebool_2ETYPE__DEFINITION_2E0(tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))))),tyop_2Ering_2Ering(A_27a)),X0_2E0),X1_2E0) ) ).

tff(arityeq2_2Ec_2Ebool_2E_5C_2F_2E2,axiom,
    ! [X0_2E0: tyop_2Emin_2Ebool,X1_2E0: tyop_2Emin_2Ebool] :
      ( ( p(X0_2E0)
        | p(X1_2E0) )
    <=> p(app_2E2(tyop_2Emin_2Ebool,tyop_2Emin_2Ebool,app_2E2(tyop_2Emin_2Ebool,tyop_2Emin_2Efun(tyop_2Emin_2Ebool,tyop_2Emin_2Ebool),c_2Ebool_2E_5C_2F_2E0,X0_2E0),X1_2E0)) ) ).

tff(arityeq1_2Ec_2Ering_2Eis__ring_2E1_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Ering_2Ering(A_27a)] : ( c_2Ering_2Eis__ring_2E1(A_27a,X0_2E0) = app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Ebool,c_2Ering_2Eis__ring_2E0(A_27a),X0_2E0) ) ).

tff(arityeq1_2Ec_2Esemi__ring_2Eis__semi__ring_2E1_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Esemi__ring_2Esemi__ring(A_27a)] : ( c_2Esemi__ring_2Eis__semi__ring_2E1(A_27a,X0_2E0) = app_2E2(tyop_2Esemi__ring_2Esemi__ring(A_27a),tyop_2Emin_2Ebool,c_2Esemi__ring_2Eis__semi__ring_2E0(A_27a),X0_2E0) ) ).

tff(arityeq2_2Ec_2Ecombin_2Eo_2E2_2Emono_2EA_27a_20mono_2EA_27a_20mono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(A_27a,A_27a),X1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ecombin_2Eo_2E2(A_27a,A_27a,A_27a,X0_2E0,X1_2E0) = app_2E2(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),app_2E2(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)),c_2Ecombin_2Eo_2E0(A_27a,A_27a,A_27a),X0_2E0),X1_2E0) ) ).

tff(arityeq2_2Ec_2Ecombin_2Eo_2E2_2Emono_2Etyop_2Emin_2Efun_28A_27a_2CA_27a_29_20mono_2Etyop_2Emin_2Efun_28A_27a_2CA_27a_29_20mono_2Etyop_2Emin_2Efun_28A_27a_2CA_27a_29,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)),X1_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ecombin_2Eo_2E2(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),X0_2E0,X1_2E0) = app_2E2(tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)),app_2E2(tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))),c_2Ecombin_2Eo_2E0(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)),X0_2E0),X1_2E0) ) ).

tff(arityeq2_2Ec_2Ecombin_2Eo_2E2_2Emono_2Etyop_2Emin_2Efun_28A_27a_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29_20mono_2Etyop_2Emin_2Efun_28A_27a_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29_20mono_2Etyop_2Emin_2Efun_28A_27a_2Ctyop_2Emin_2Efun_28A_27a_2CA_27a_29_29,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),X1_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ecombin_2Eo_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),X0_2E0,X1_2E0) = app_2E2(tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),app_2E2(tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),tyop_2Emin_2Efun(tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))),c_2Ecombin_2Eo_2E0(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),X0_2E0),X1_2E0) ) ).

tff(arityeq2_2Ec_2Ecombin_2Eo_2E2_2Emono_2EA_27b_20mono_2Etyop_2Ering_2Ering_28A_27a_29_20mono_2Etyop_2Ering_2Ering_28A_27a_29,axiom,
    ! [A_27a: $tType,A_27b: $tType,X0_2E0: tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)),X1_2E0: tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a))] : ( c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),X0_2E0,X1_2E0) = app_2E2(tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a)),tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a)),app_2E2(tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a)),tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a))),c_2Ecombin_2Eo_2E0(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)),X0_2E0),X1_2E0) ) ).

tff(arityeq2_2Ec_2Ecombin_2Eo_2E2_2Emono_2Etyop_2Ering_2Ering_28A_27a_29_20mono_2Etyop_2Ering_2Ering_28A_27a_29_20mono_2Etyop_2Ering_2Ering_28A_27a_29,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)),X1_2E0: tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a))] : ( c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),X0_2E0,X1_2E0) = app_2E2(tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)),tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)),app_2E2(tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)),tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a))),c_2Ecombin_2Eo_2E0(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)),X0_2E0),X1_2E0) ) ).

tff(arityeq5_2Ec_2Ering_2Erecordtype_2Ering_2E5_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: A_27a,X1_2E0: A_27a,X2_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),X3_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),X4_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Erecordtype_2Ering_2E5(A_27a,X0_2E0,X1_2E0,X2_2E0,X3_2E0,X4_2E0) = app_2E2(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Ering_2Ering(A_27a),app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Ering_2Ering(A_27a)),app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Ering_2Ering(A_27a))),app_2E2(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Ering_2Ering(A_27a)))),app_2E2(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Ering_2Ering(A_27a))))),c_2Ering_2Erecordtype_2Ering_2E0(A_27a),X0_2E0),X1_2E0),X2_2E0),X3_2E0),X4_2E0) ) ).

tff(arityeq4_2Ec_2Esemi__ring_2Erecordtype_2Esemi__ring_2E4_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: A_27a,X1_2E0: A_27a,X2_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),X3_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Esemi__ring_2Erecordtype_2Esemi__ring_2E4(A_27a,X0_2E0,X1_2E0,X2_2E0,X3_2E0) = app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Esemi__ring_2Esemi__ring(A_27a),app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Esemi__ring_2Esemi__ring(A_27a)),app_2E2(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Esemi__ring_2Esemi__ring(A_27a))),app_2E2(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Esemi__ring_2Esemi__ring(A_27a)))),c_2Esemi__ring_2Erecordtype_2Esemi__ring_2E0(A_27a),X0_2E0),X1_2E0),X2_2E0),X3_2E0) ) ).

tff(arityeq2_2Ec_2Ering_2Ering__CASE_2E2_2Emono_2EA_27a_20mono_2EA_27b,axiom,
    ! [A_27a: $tType,A_27b: $tType,X0_2E0: tyop_2Ering_2Ering(A_27a),X1_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b)))))] : ( c_2Ering_2Ering__CASE_2E2(A_27a,A_27b,X0_2E0,X1_2E0) = app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b))))),A_27b,app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b))))),A_27b),c_2Ering_2Ering__CASE_2E0(A_27a,A_27b),X0_2E0),X1_2E0) ) ).

tff(arityeq1_2Ec_2Ering_2Ering__R0_2E1_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Ering_2Ering(A_27a)] : ( c_2Ering_2Ering__R0_2E1(A_27a,X0_2E0) = app_2E2(tyop_2Ering_2Ering(A_27a),A_27a,c_2Ering_2Ering__R0_2E0(A_27a),X0_2E0) ) ).

tff(arityeq1_2Ec_2Ering_2Ering__R0__fupd_2E1_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__R0__fupd_2E1(A_27a,X0_2E0) = app_2E2(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)),c_2Ering_2Ering__R0__fupd_2E0(A_27a),X0_2E0) ) ).

tff(arityeq2_2Ec_2Ering_2Ering__R0__fupd_2E2_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(A_27a,A_27a),X1_2E0: tyop_2Ering_2Ering(A_27a)] : ( c_2Ering_2Ering__R0__fupd_2E2(A_27a,X0_2E0,X1_2E0) = app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),app_2E2(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)),c_2Ering_2Ering__R0__fupd_2E0(A_27a),X0_2E0),X1_2E0) ) ).

tff(arityeq1_2Ec_2Ering_2Ering__R1_2E1_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Ering_2Ering(A_27a)] : ( c_2Ering_2Ering__R1_2E1(A_27a,X0_2E0) = app_2E2(tyop_2Ering_2Ering(A_27a),A_27a,c_2Ering_2Ering__R1_2E0(A_27a),X0_2E0) ) ).

tff(arityeq1_2Ec_2Ering_2Ering__R1__fupd_2E1_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__R1__fupd_2E1(A_27a,X0_2E0) = app_2E2(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)),c_2Ering_2Ering__R1__fupd_2E0(A_27a),X0_2E0) ) ).

tff(arityeq2_2Ec_2Ering_2Ering__R1__fupd_2E2_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(A_27a,A_27a),X1_2E0: tyop_2Ering_2Ering(A_27a)] : ( c_2Ering_2Ering__R1__fupd_2E2(A_27a,X0_2E0,X1_2E0) = app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),app_2E2(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)),c_2Ering_2Ering__R1__fupd_2E0(A_27a),X0_2E0),X1_2E0) ) ).

tff(arityeq1_2Ec_2Ering_2Ering__RM_2E1_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Ering_2Ering(A_27a)] : ( c_2Ering_2Ering__RM_2E1(A_27a,X0_2E0) = app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),c_2Ering_2Ering__RM_2E0(A_27a),X0_2E0) ) ).

tff(arityeq3_2Ec_2Ering_2Ering__RM_2E3_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Ering_2Ering(A_27a),X1_2E0: A_27a,X2_2E0: A_27a] : ( c_2Ering_2Ering__RM_2E3(A_27a,X0_2E0,X1_2E0,X2_2E0) = app_2E2(A_27a,A_27a,app_2E2(A_27a,tyop_2Emin_2Efun(A_27a,A_27a),app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),c_2Ering_2Ering__RM_2E0(A_27a),X0_2E0),X1_2E0),X2_2E0) ) ).

tff(arityeq1_2Ec_2Ering_2Ering__RM__fupd_2E1_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ering_2Ering__RM__fupd_2E1(A_27a,X0_2E0) = app_2E2(tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)),c_2Ering_2Ering__RM__fupd_2E0(A_27a),X0_2E0) ) ).

tff(arityeq2_2Ec_2Ering_2Ering__RM__fupd_2E2_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),X1_2E0: tyop_2Ering_2Ering(A_27a)] : ( c_2Ering_2Ering__RM__fupd_2E2(A_27a,X0_2E0,X1_2E0) = app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),app_2E2(tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)),c_2Ering_2Ering__RM__fupd_2E0(A_27a),X0_2E0),X1_2E0) ) ).

tff(arityeq1_2Ec_2Ering_2Ering__RN_2E1_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Ering_2Ering(A_27a)] : ( c_2Ering_2Ering__RN_2E1(A_27a,X0_2E0) = app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Efun(A_27a,A_27a),c_2Ering_2Ering__RN_2E0(A_27a),X0_2E0) ) ).

tff(arityeq2_2Ec_2Ering_2Ering__RN_2E2_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Ering_2Ering(A_27a),X1_2E0: A_27a] : ( c_2Ering_2Ering__RN_2E2(A_27a,X0_2E0,X1_2E0) = app_2E2(A_27a,A_27a,app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Efun(A_27a,A_27a),c_2Ering_2Ering__RN_2E0(A_27a),X0_2E0),X1_2E0) ) ).

tff(arityeq1_2Ec_2Ering_2Ering__RN__fupd_2E1_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ering_2Ering__RN__fupd_2E1(A_27a,X0_2E0) = app_2E2(tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)),c_2Ering_2Ering__RN__fupd_2E0(A_27a),X0_2E0) ) ).

tff(arityeq2_2Ec_2Ering_2Ering__RN__fupd_2E2_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)),X1_2E0: tyop_2Ering_2Ering(A_27a)] : ( c_2Ering_2Ering__RN__fupd_2E2(A_27a,X0_2E0,X1_2E0) = app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),app_2E2(tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)),c_2Ering_2Ering__RN__fupd_2E0(A_27a),X0_2E0),X1_2E0) ) ).

tff(arityeq1_2Ec_2Ering_2Ering__RP_2E1_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Ering_2Ering(A_27a)] : ( c_2Ering_2Ering__RP_2E1(A_27a,X0_2E0) = app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),c_2Ering_2Ering__RP_2E0(A_27a),X0_2E0) ) ).

tff(arityeq3_2Ec_2Ering_2Ering__RP_2E3_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Ering_2Ering(A_27a),X1_2E0: A_27a,X2_2E0: A_27a] : ( c_2Ering_2Ering__RP_2E3(A_27a,X0_2E0,X1_2E0,X2_2E0) = app_2E2(A_27a,A_27a,app_2E2(A_27a,tyop_2Emin_2Efun(A_27a,A_27a),app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),c_2Ering_2Ering__RP_2E0(A_27a),X0_2E0),X1_2E0),X2_2E0) ) ).

tff(arityeq1_2Ec_2Ering_2Ering__RP__fupd_2E1_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ering_2Ering__RP__fupd_2E1(A_27a,X0_2E0) = app_2E2(tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)),c_2Ering_2Ering__RP__fupd_2E0(A_27a),X0_2E0) ) ).

tff(arityeq2_2Ec_2Ering_2Ering__RP__fupd_2E2_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),X1_2E0: tyop_2Ering_2Ering(A_27a)] : ( c_2Ering_2Ering__RP__fupd_2E2(A_27a,X0_2E0,X1_2E0) = app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),app_2E2(tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a)),c_2Ering_2Ering__RP__fupd_2E0(A_27a),X0_2E0),X1_2E0) ) ).

tff(arityeq2_2Ec_2Ering_2Ering__size_2E2_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Enum_2Enum),X1_2E0: tyop_2Ering_2Ering(A_27a)] : ( c_2Ering_2Ering__size_2E2(A_27a,X0_2E0,X1_2E0) = app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Enum_2Enum,app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Enum_2Enum),tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Enum_2Enum),c_2Ering_2Ering__size_2E0(A_27a),X0_2E0),X1_2E0) ) ).

tff(arityeq1_2Ec_2Ering_2Esemi__ring__of_2E1_2Emono_2EA_27a,axiom,
    ! [A_27a: $tType,X0_2E0: tyop_2Ering_2Ering(A_27a)] : ( c_2Ering_2Esemi__ring__of_2E1(A_27a,X0_2E0) = app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Esemi__ring_2Esemi__ring(A_27a),c_2Ering_2Esemi__ring__of_2E0(A_27a),X0_2E0) ) ).

tff(arityeq1_2Ec_2Ebool_2E_7E_2E1,axiom,
    ! [X0_2E0: tyop_2Emin_2Ebool] :
      ( ~ p(X0_2E0)
    <=> p(app_2E2(tyop_2Emin_2Ebool,tyop_2Emin_2Ebool,c_2Ebool_2E_7E_2E0,X0_2E0)) ) ).

tff(thm_2Ering_2Ering__TY__DEF,axiom,
    ! [A_27a: $tType,F1_2E0: tyop_2Emin_2Efun(tyop_2Enum_2Enum,tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))))))),F0_2E0: tyop_2Emin_2Efun(tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))))),tyop_2Emin_2Ebool)] :
      ( ! [V9n_2E0: tyop_2Enum_2Enum] : ( app_2E2(tyop_2Enum_2Enum,tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))))),F1_2E0,V9n_2E0) = c_2Eind__type_2EBOTTOM_2E0(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))))) )
     => ( ! [V1a0_27_2E0: tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))))))] :
            ( p(app_2E2(tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))))),tyop_2Emin_2Ebool,F0_2E0,V1a0_27_2E0))
          <=> ! [V2_27ring_27_2E0: tyop_2Emin_2Efun(tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))))),tyop_2Emin_2Ebool)] :
                ( ! [V3a0_27_2E0: tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))))))] :
                    ( ? [V4a0_2E0: A_27a,V5a1_2E0: A_27a,V6a2_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V7a3_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V8a4_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( V3a0_27_2E0 = c_2Eind__type_2ECONSTR_2E3(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))))),c_2Enum_2E0_2E0,c_2Epair_2E_2C_2E2(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))),V4a0_2E0,c_2Epair_2E_2C_2E2(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a))),V5a1_2E0,c_2Epair_2E_2C_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)),V6a2_2E0,c_2Epair_2E_2C_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a),V7a3_2E0,V8a4_2E0)))),F1_2E0) )
                   => p(app_2E2(tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))))),tyop_2Emin_2Ebool,V2_27ring_27_2E0,V3a0_27_2E0)) )
               => p(app_2E2(tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))))),tyop_2Emin_2Ebool,V2_27ring_27_2E0,V1a0_27_2E0)) ) )
       => ? [V0rep_2E0: tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))))))] : p(c_2Ebool_2ETYPE__DEFINITION_2E2(tyop_2Eind__type_2Erecspace(tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(A_27a,tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Epair_2Eprod(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,A_27a)))))),tyop_2Ering_2Ering(A_27a),F0_2E0,V0rep_2E0)) ) ) ).

tff(thm_2Ering_2Ering__case__def,axiom,
    ! [A_27a: $tType,A_27b: $tType,V0a0_2E0: A_27a,V1a1_2E0: A_27a,V2a2_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V3a3_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4a4_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V5f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b)))))] : ( c_2Ering_2Ering__CASE_2E2(A_27a,A_27b,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V0a0_2E0,V1a1_2E0,V2a2_2E0,V3a3_2E0,V4a4_2E0),V5f_2E0) = app_2E2(tyop_2Emin_2Efun(A_27a,A_27a),A_27b,app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b),app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b)),app_2E2(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b))),app_2E2(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b)))),V5f_2E0,V0a0_2E0),V1a1_2E0),V2a2_2E0),V3a3_2E0),V4a4_2E0) ) ).

tff(thm_2Ering_2Ering__size__def,axiom,
    ! [A_27a: $tType,V0f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Enum_2Enum),V1a0_2E0: A_27a,V2a1_2E0: A_27a,V3a2_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4a3_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V5a4_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__size_2E2(A_27a,V0f_2E0,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V1a0_2E0,V2a1_2E0,V3a2_2E0,V4a3_2E0,V5a4_2E0)) = c_2Earithmetic_2E_2B_2E2(c_2Earithmetic_2ENUMERAL_2E1(c_2Earithmetic_2EBIT1_2E1(c_2Earithmetic_2EZERO_2E0)),c_2Earithmetic_2E_2B_2E2(app_2E2(A_27a,tyop_2Enum_2Enum,V0f_2E0,V1a0_2E0),app_2E2(A_27a,tyop_2Enum_2Enum,V0f_2E0,V2a1_2E0))) ) ).

tff(thm_2Ering_2Ering__R0,axiom,
    ! [A_27a: $tType,V0a_2E0: A_27a,V1a0_2E0: A_27a,V2f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V3f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__R0_2E1(A_27a,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V0a_2E0,V1a0_2E0,V2f_2E0,V3f0_2E0,V4f1_2E0)) = V0a_2E0 ) ).

tff(thm_2Ering_2Ering__R1,axiom,
    ! [A_27a: $tType,V0a_2E0: A_27a,V1a0_2E0: A_27a,V2f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V3f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__R1_2E1(A_27a,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V0a_2E0,V1a0_2E0,V2f_2E0,V3f0_2E0,V4f1_2E0)) = V1a0_2E0 ) ).

tff(thm_2Ering_2Ering__RP,axiom,
    ! [A_27a: $tType,V0a_2E0: A_27a,V1a0_2E0: A_27a,V2f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V3f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__RP_2E1(A_27a,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V0a_2E0,V1a0_2E0,V2f_2E0,V3f0_2E0,V4f1_2E0)) = V2f_2E0 ) ).

tff(thm_2Ering_2Ering__RM,axiom,
    ! [A_27a: $tType,V0a_2E0: A_27a,V1a0_2E0: A_27a,V2f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V3f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__RM_2E1(A_27a,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V0a_2E0,V1a0_2E0,V2f_2E0,V3f0_2E0,V4f1_2E0)) = V3f0_2E0 ) ).

tff(thm_2Ering_2Ering__RN,axiom,
    ! [A_27a: $tType,V0a_2E0: A_27a,V1a0_2E0: A_27a,V2f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V3f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__RN_2E1(A_27a,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V0a_2E0,V1a0_2E0,V2f_2E0,V3f0_2E0,V4f1_2E0)) = V4f1_2E0 ) ).

tff(thm_2Ering_2Ering__R0__fupd,axiom,
    ! [A_27a: $tType,V0f2_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V1a_2E0: A_27a,V2a0_2E0: A_27a,V3f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V5f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__R0__fupd_2E2(A_27a,V0f2_2E0,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V1a_2E0,V2a0_2E0,V3f_2E0,V4f0_2E0,V5f1_2E0)) = c_2Ering_2Erecordtype_2Ering_2E5(A_27a,app_2E2(A_27a,A_27a,V0f2_2E0,V1a_2E0),V2a0_2E0,V3f_2E0,V4f0_2E0,V5f1_2E0) ) ).

tff(thm_2Ering_2Ering__R1__fupd,axiom,
    ! [A_27a: $tType,V0f2_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V1a_2E0: A_27a,V2a0_2E0: A_27a,V3f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V5f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__R1__fupd_2E2(A_27a,V0f2_2E0,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V1a_2E0,V2a0_2E0,V3f_2E0,V4f0_2E0,V5f1_2E0)) = c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V1a_2E0,app_2E2(A_27a,A_27a,V0f2_2E0,V2a0_2E0),V3f_2E0,V4f0_2E0,V5f1_2E0) ) ).

tff(thm_2Ering_2Ering__RP__fupd,axiom,
    ! [A_27a: $tType,V0f2_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),V1a_2E0: A_27a,V2a0_2E0: A_27a,V3f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V5f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__RP__fupd_2E2(A_27a,V0f2_2E0,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V1a_2E0,V2a0_2E0,V3f_2E0,V4f0_2E0,V5f1_2E0)) = c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V1a_2E0,V2a0_2E0,app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V0f2_2E0,V3f_2E0),V4f0_2E0,V5f1_2E0) ) ).

tff(thm_2Ering_2Ering__RM__fupd,axiom,
    ! [A_27a: $tType,V0f2_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),V1a_2E0: A_27a,V2a0_2E0: A_27a,V3f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V5f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__RM__fupd_2E2(A_27a,V0f2_2E0,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V1a_2E0,V2a0_2E0,V3f_2E0,V4f0_2E0,V5f1_2E0)) = c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V1a_2E0,V2a0_2E0,V3f_2E0,app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V0f2_2E0,V4f0_2E0),V5f1_2E0) ) ).

tff(thm_2Ering_2Ering__RN__fupd,axiom,
    ! [A_27a: $tType,V0f2_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)),V1a_2E0: A_27a,V2a0_2E0: A_27a,V3f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V5f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__RN__fupd_2E2(A_27a,V0f2_2E0,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V1a_2E0,V2a0_2E0,V3f_2E0,V4f0_2E0,V5f1_2E0)) = c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V1a_2E0,V2a0_2E0,V3f_2E0,V4f0_2E0,app_2E2(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),V0f2_2E0,V5f1_2E0)) ) ).

tff(thm_2Ering_2Eis__ring__def,axiom,
    ! [A_27a: $tType,V0r_2E0: tyop_2Ering_2Ering(A_27a)] :
      ( p(c_2Ering_2Eis__ring_2E1(A_27a,V0r_2E0))
    <=> ( ! [V1n_2E0: A_27a,V2m_2E0: A_27a] : ( c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,V1n_2E0,V2m_2E0) = c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,V2m_2E0,V1n_2E0) )
        & ! [V3n_2E0: A_27a,V4m_2E0: A_27a,V5p_2E0: A_27a] : ( c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,V3n_2E0,c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,V4m_2E0,V5p_2E0)) = c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,V3n_2E0,V4m_2E0),V5p_2E0) )
        & ! [V6n_2E0: A_27a,V7m_2E0: A_27a] : ( c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,V6n_2E0,V7m_2E0) = c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,V7m_2E0,V6n_2E0) )
        & ! [V8n_2E0: A_27a,V9m_2E0: A_27a,V10p_2E0: A_27a] : ( c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,V8n_2E0,c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,V9m_2E0,V10p_2E0)) = c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,V8n_2E0,V9m_2E0),V10p_2E0) )
        & ! [V11n_2E0: A_27a] : ( c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,c_2Ering_2Ering__R0_2E1(A_27a,V0r_2E0),V11n_2E0) = V11n_2E0 )
        & ! [V12n_2E0: A_27a] : ( c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,c_2Ering_2Ering__R1_2E1(A_27a,V0r_2E0),V12n_2E0) = V12n_2E0 )
        & ! [V13n_2E0: A_27a] : ( c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,V13n_2E0,c_2Ering_2Ering__RN_2E2(A_27a,V0r_2E0,V13n_2E0)) = c_2Ering_2Ering__R0_2E1(A_27a,V0r_2E0) )
        & ! [V14n_2E0: A_27a,V15m_2E0: A_27a,V16p_2E0: A_27a] : ( c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,V14n_2E0,V15m_2E0),V16p_2E0) = c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,V14n_2E0,V16p_2E0),c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,V15m_2E0,V16p_2E0)) ) ) ) ).

tff(thm_2Ering_2Esemi__ring__of__def,axiom,
    ! [A_27a: $tType,V0r_2E0: tyop_2Ering_2Ering(A_27a)] : ( c_2Ering_2Esemi__ring__of_2E1(A_27a,V0r_2E0) = c_2Esemi__ring_2Erecordtype_2Esemi__ring_2E4(A_27a,c_2Ering_2Ering__R0_2E1(A_27a,V0r_2E0),c_2Ering_2Ering__R1_2E1(A_27a,V0r_2E0),c_2Ering_2Ering__RP_2E1(A_27a,V0r_2E0),c_2Ering_2Ering__RM_2E1(A_27a,V0r_2E0)) ) ).

tff(thm_2Ering_2Ering__accessors,axiom,
    ! [A_27a: $tType] :
      ( ! [V0a_2E0: A_27a,V1a0_2E0: A_27a,V2f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V3f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__R0_2E1(A_27a,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V0a_2E0,V1a0_2E0,V2f_2E0,V3f0_2E0,V4f1_2E0)) = V0a_2E0 )
      & ! [V5a_2E0: A_27a,V6a0_2E0: A_27a,V7f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V8f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V9f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__R1_2E1(A_27a,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V5a_2E0,V6a0_2E0,V7f_2E0,V8f0_2E0,V9f1_2E0)) = V6a0_2E0 )
      & ! [V10a_2E0: A_27a,V11a0_2E0: A_27a,V12f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V13f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V14f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__RP_2E1(A_27a,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V10a_2E0,V11a0_2E0,V12f_2E0,V13f0_2E0,V14f1_2E0)) = V12f_2E0 )
      & ! [V15a_2E0: A_27a,V16a0_2E0: A_27a,V17f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V18f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V19f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__RM_2E1(A_27a,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V15a_2E0,V16a0_2E0,V17f_2E0,V18f0_2E0,V19f1_2E0)) = V18f0_2E0 )
      & ! [V20a_2E0: A_27a,V21a0_2E0: A_27a,V22f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V23f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V24f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__RN_2E1(A_27a,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V20a_2E0,V21a0_2E0,V22f_2E0,V23f0_2E0,V24f1_2E0)) = V24f1_2E0 ) ) ).

tff(thm_2Ering_2Ering__fn__updates,axiom,
    ! [A_27a: $tType] :
      ( ! [V0f2_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V1a_2E0: A_27a,V2a0_2E0: A_27a,V3f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V5f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__R0__fupd_2E2(A_27a,V0f2_2E0,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V1a_2E0,V2a0_2E0,V3f_2E0,V4f0_2E0,V5f1_2E0)) = c_2Ering_2Erecordtype_2Ering_2E5(A_27a,app_2E2(A_27a,A_27a,V0f2_2E0,V1a_2E0),V2a0_2E0,V3f_2E0,V4f0_2E0,V5f1_2E0) )
      & ! [V6f2_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V7a_2E0: A_27a,V8a0_2E0: A_27a,V9f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V10f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V11f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__R1__fupd_2E2(A_27a,V6f2_2E0,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V7a_2E0,V8a0_2E0,V9f_2E0,V10f0_2E0,V11f1_2E0)) = c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V7a_2E0,app_2E2(A_27a,A_27a,V6f2_2E0,V8a0_2E0),V9f_2E0,V10f0_2E0,V11f1_2E0) )
      & ! [V12f2_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),V13a_2E0: A_27a,V14a0_2E0: A_27a,V15f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V16f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V17f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__RP__fupd_2E2(A_27a,V12f2_2E0,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V13a_2E0,V14a0_2E0,V15f_2E0,V16f0_2E0,V17f1_2E0)) = c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V13a_2E0,V14a0_2E0,app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V12f2_2E0,V15f_2E0),V16f0_2E0,V17f1_2E0) )
      & ! [V18f2_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),V19a_2E0: A_27a,V20a0_2E0: A_27a,V21f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V22f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V23f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__RM__fupd_2E2(A_27a,V18f2_2E0,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V19a_2E0,V20a0_2E0,V21f_2E0,V22f0_2E0,V23f1_2E0)) = c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V19a_2E0,V20a0_2E0,V21f_2E0,app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V18f2_2E0,V22f0_2E0),V23f1_2E0) )
      & ! [V24f2_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)),V25a_2E0: A_27a,V26a0_2E0: A_27a,V27f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V28f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V29f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__RN__fupd_2E2(A_27a,V24f2_2E0,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V25a_2E0,V26a0_2E0,V27f_2E0,V28f0_2E0,V29f1_2E0)) = c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V25a_2E0,V26a0_2E0,V27f_2E0,V28f0_2E0,app_2E2(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),V24f2_2E0,V29f1_2E0)) ) ) ).

tff(thm_2Ering_2Ering__accfupds,axiom,
    ! [A_27a: $tType] :
      ( ! [V0r_2E0: tyop_2Ering_2Ering(A_27a),V1f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__R0_2E1(A_27a,c_2Ering_2Ering__R1__fupd_2E2(A_27a,V1f_2E0,V0r_2E0)) = c_2Ering_2Ering__R0_2E1(A_27a,V0r_2E0) )
      & ! [V2r_2E0: tyop_2Ering_2Ering(A_27a),V3f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ering_2Ering__R0_2E1(A_27a,c_2Ering_2Ering__RP__fupd_2E2(A_27a,V3f_2E0,V2r_2E0)) = c_2Ering_2Ering__R0_2E1(A_27a,V2r_2E0) )
      & ! [V4r_2E0: tyop_2Ering_2Ering(A_27a),V5f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ering_2Ering__R0_2E1(A_27a,c_2Ering_2Ering__RM__fupd_2E2(A_27a,V5f_2E0,V4r_2E0)) = c_2Ering_2Ering__R0_2E1(A_27a,V4r_2E0) )
      & ! [V6r_2E0: tyop_2Ering_2Ering(A_27a),V7f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ering_2Ering__R0_2E1(A_27a,c_2Ering_2Ering__RN__fupd_2E2(A_27a,V7f_2E0,V6r_2E0)) = c_2Ering_2Ering__R0_2E1(A_27a,V6r_2E0) )
      & ! [V8r_2E0: tyop_2Ering_2Ering(A_27a),V9f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__R1_2E1(A_27a,c_2Ering_2Ering__R0__fupd_2E2(A_27a,V9f_2E0,V8r_2E0)) = c_2Ering_2Ering__R1_2E1(A_27a,V8r_2E0) )
      & ! [V10r_2E0: tyop_2Ering_2Ering(A_27a),V11f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ering_2Ering__R1_2E1(A_27a,c_2Ering_2Ering__RP__fupd_2E2(A_27a,V11f_2E0,V10r_2E0)) = c_2Ering_2Ering__R1_2E1(A_27a,V10r_2E0) )
      & ! [V12r_2E0: tyop_2Ering_2Ering(A_27a),V13f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ering_2Ering__R1_2E1(A_27a,c_2Ering_2Ering__RM__fupd_2E2(A_27a,V13f_2E0,V12r_2E0)) = c_2Ering_2Ering__R1_2E1(A_27a,V12r_2E0) )
      & ! [V14r_2E0: tyop_2Ering_2Ering(A_27a),V15f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ering_2Ering__R1_2E1(A_27a,c_2Ering_2Ering__RN__fupd_2E2(A_27a,V15f_2E0,V14r_2E0)) = c_2Ering_2Ering__R1_2E1(A_27a,V14r_2E0) )
      & ! [V16r_2E0: tyop_2Ering_2Ering(A_27a),V17f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__RP_2E1(A_27a,c_2Ering_2Ering__R0__fupd_2E2(A_27a,V17f_2E0,V16r_2E0)) = c_2Ering_2Ering__RP_2E1(A_27a,V16r_2E0) )
      & ! [V18r_2E0: tyop_2Ering_2Ering(A_27a),V19f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__RP_2E1(A_27a,c_2Ering_2Ering__R1__fupd_2E2(A_27a,V19f_2E0,V18r_2E0)) = c_2Ering_2Ering__RP_2E1(A_27a,V18r_2E0) )
      & ! [V20r_2E0: tyop_2Ering_2Ering(A_27a),V21f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ering_2Ering__RP_2E1(A_27a,c_2Ering_2Ering__RM__fupd_2E2(A_27a,V21f_2E0,V20r_2E0)) = c_2Ering_2Ering__RP_2E1(A_27a,V20r_2E0) )
      & ! [V22r_2E0: tyop_2Ering_2Ering(A_27a),V23f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ering_2Ering__RP_2E1(A_27a,c_2Ering_2Ering__RN__fupd_2E2(A_27a,V23f_2E0,V22r_2E0)) = c_2Ering_2Ering__RP_2E1(A_27a,V22r_2E0) )
      & ! [V24r_2E0: tyop_2Ering_2Ering(A_27a),V25f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__RM_2E1(A_27a,c_2Ering_2Ering__R0__fupd_2E2(A_27a,V25f_2E0,V24r_2E0)) = c_2Ering_2Ering__RM_2E1(A_27a,V24r_2E0) )
      & ! [V26r_2E0: tyop_2Ering_2Ering(A_27a),V27f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__RM_2E1(A_27a,c_2Ering_2Ering__R1__fupd_2E2(A_27a,V27f_2E0,V26r_2E0)) = c_2Ering_2Ering__RM_2E1(A_27a,V26r_2E0) )
      & ! [V28r_2E0: tyop_2Ering_2Ering(A_27a),V29f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ering_2Ering__RM_2E1(A_27a,c_2Ering_2Ering__RP__fupd_2E2(A_27a,V29f_2E0,V28r_2E0)) = c_2Ering_2Ering__RM_2E1(A_27a,V28r_2E0) )
      & ! [V30r_2E0: tyop_2Ering_2Ering(A_27a),V31f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ering_2Ering__RM_2E1(A_27a,c_2Ering_2Ering__RN__fupd_2E2(A_27a,V31f_2E0,V30r_2E0)) = c_2Ering_2Ering__RM_2E1(A_27a,V30r_2E0) )
      & ! [V32r_2E0: tyop_2Ering_2Ering(A_27a),V33f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__RN_2E1(A_27a,c_2Ering_2Ering__R0__fupd_2E2(A_27a,V33f_2E0,V32r_2E0)) = c_2Ering_2Ering__RN_2E1(A_27a,V32r_2E0) )
      & ! [V34r_2E0: tyop_2Ering_2Ering(A_27a),V35f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__RN_2E1(A_27a,c_2Ering_2Ering__R1__fupd_2E2(A_27a,V35f_2E0,V34r_2E0)) = c_2Ering_2Ering__RN_2E1(A_27a,V34r_2E0) )
      & ! [V36r_2E0: tyop_2Ering_2Ering(A_27a),V37f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ering_2Ering__RN_2E1(A_27a,c_2Ering_2Ering__RP__fupd_2E2(A_27a,V37f_2E0,V36r_2E0)) = c_2Ering_2Ering__RN_2E1(A_27a,V36r_2E0) )
      & ! [V38r_2E0: tyop_2Ering_2Ering(A_27a),V39f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ering_2Ering__RN_2E1(A_27a,c_2Ering_2Ering__RM__fupd_2E2(A_27a,V39f_2E0,V38r_2E0)) = c_2Ering_2Ering__RN_2E1(A_27a,V38r_2E0) )
      & ! [V40r_2E0: tyop_2Ering_2Ering(A_27a),V41f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__R0_2E1(A_27a,c_2Ering_2Ering__R0__fupd_2E2(A_27a,V41f_2E0,V40r_2E0)) = app_2E2(A_27a,A_27a,V41f_2E0,c_2Ering_2Ering__R0_2E1(A_27a,V40r_2E0)) )
      & ! [V42r_2E0: tyop_2Ering_2Ering(A_27a),V43f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__R1_2E1(A_27a,c_2Ering_2Ering__R1__fupd_2E2(A_27a,V43f_2E0,V42r_2E0)) = app_2E2(A_27a,A_27a,V43f_2E0,c_2Ering_2Ering__R1_2E1(A_27a,V42r_2E0)) )
      & ! [V44r_2E0: tyop_2Ering_2Ering(A_27a),V45f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ering_2Ering__RP_2E1(A_27a,c_2Ering_2Ering__RP__fupd_2E2(A_27a,V45f_2E0,V44r_2E0)) = app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V45f_2E0,c_2Ering_2Ering__RP_2E1(A_27a,V44r_2E0)) )
      & ! [V46r_2E0: tyop_2Ering_2Ering(A_27a),V47f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ering_2Ering__RM_2E1(A_27a,c_2Ering_2Ering__RM__fupd_2E2(A_27a,V47f_2E0,V46r_2E0)) = app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V47f_2E0,c_2Ering_2Ering__RM_2E1(A_27a,V46r_2E0)) )
      & ! [V48r_2E0: tyop_2Ering_2Ering(A_27a),V49f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ering_2Ering__RN_2E1(A_27a,c_2Ering_2Ering__RN__fupd_2E2(A_27a,V49f_2E0,V48r_2E0)) = app_2E2(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),V49f_2E0,c_2Ering_2Ering__RN_2E1(A_27a,V48r_2E0)) ) ) ).

tff(thm_2Ering_2Ering__fupdfupds,axiom,
    ! [A_27a: $tType] :
      ( ! [V0r_2E0: tyop_2Ering_2Ering(A_27a),V1g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V2f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__R0__fupd_2E2(A_27a,V2f_2E0,c_2Ering_2Ering__R0__fupd_2E2(A_27a,V1g_2E0,V0r_2E0)) = c_2Ering_2Ering__R0__fupd_2E2(A_27a,c_2Ecombin_2Eo_2E2(A_27a,A_27a,A_27a,V2f_2E0,V1g_2E0),V0r_2E0) )
      & ! [V3r_2E0: tyop_2Ering_2Ering(A_27a),V4g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V5f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__R1__fupd_2E2(A_27a,V5f_2E0,c_2Ering_2Ering__R1__fupd_2E2(A_27a,V4g_2E0,V3r_2E0)) = c_2Ering_2Ering__R1__fupd_2E2(A_27a,c_2Ecombin_2Eo_2E2(A_27a,A_27a,A_27a,V5f_2E0,V4g_2E0),V3r_2E0) )
      & ! [V6r_2E0: tyop_2Ering_2Ering(A_27a),V7g_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),V8f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ering_2Ering__RP__fupd_2E2(A_27a,V8f_2E0,c_2Ering_2Ering__RP__fupd_2E2(A_27a,V7g_2E0,V6r_2E0)) = c_2Ering_2Ering__RP__fupd_2E2(A_27a,c_2Ecombin_2Eo_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V8f_2E0,V7g_2E0),V6r_2E0) )
      & ! [V9r_2E0: tyop_2Ering_2Ering(A_27a),V10g_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),V11f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ering_2Ering__RM__fupd_2E2(A_27a,V11f_2E0,c_2Ering_2Ering__RM__fupd_2E2(A_27a,V10g_2E0,V9r_2E0)) = c_2Ering_2Ering__RM__fupd_2E2(A_27a,c_2Ecombin_2Eo_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V11f_2E0,V10g_2E0),V9r_2E0) )
      & ! [V12r_2E0: tyop_2Ering_2Ering(A_27a),V13g_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)),V14f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ering_2Ering__RN__fupd_2E2(A_27a,V14f_2E0,c_2Ering_2Ering__RN__fupd_2E2(A_27a,V13g_2E0,V12r_2E0)) = c_2Ering_2Ering__RN__fupd_2E2(A_27a,c_2Ecombin_2Eo_2E2(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),V14f_2E0,V13g_2E0),V12r_2E0) ) ) ).

tff(thm_2Ering_2Ering__fupdfupds__comp,axiom,
    ! [A_27a: $tType,A_27b: $tType] :
      ( ! [V0g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V1f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V1f_2E0),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V0g_2E0)) = c_2Ering_2Ering__R0__fupd_2E1(A_27a,c_2Ecombin_2Eo_2E2(A_27a,A_27a,A_27a,V1f_2E0,V0g_2E0)) )
      & ! [V2h_2E0: tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a)),V3g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V4f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V4f_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V3g_2E0),V2h_2E0)) = c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R0__fupd_2E1(A_27a,c_2Ecombin_2Eo_2E2(A_27a,A_27a,A_27a,V4f_2E0,V3g_2E0)),V2h_2E0) )
      & ! [V5g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V6f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V6f_2E0),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V5g_2E0)) = c_2Ering_2Ering__R1__fupd_2E1(A_27a,c_2Ecombin_2Eo_2E2(A_27a,A_27a,A_27a,V6f_2E0,V5g_2E0)) )
      & ! [V7h_2E0: tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a)),V8g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V9f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V9f_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V8g_2E0),V7h_2E0)) = c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R1__fupd_2E1(A_27a,c_2Ecombin_2Eo_2E2(A_27a,A_27a,A_27a,V9f_2E0,V8g_2E0)),V7h_2E0) )
      & ! [V10g_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),V11f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V11f_2E0),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V10g_2E0)) = c_2Ering_2Ering__RP__fupd_2E1(A_27a,c_2Ecombin_2Eo_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V11f_2E0,V10g_2E0)) )
      & ! [V12h_2E0: tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a)),V13g_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),V14f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V14f_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V13g_2E0),V12h_2E0)) = c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RP__fupd_2E1(A_27a,c_2Ecombin_2Eo_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V14f_2E0,V13g_2E0)),V12h_2E0) )
      & ! [V15g_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),V16f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V16f_2E0),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V15g_2E0)) = c_2Ering_2Ering__RM__fupd_2E1(A_27a,c_2Ecombin_2Eo_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V16f_2E0,V15g_2E0)) )
      & ! [V17h_2E0: tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a)),V18g_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),V19f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V19f_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V18g_2E0),V17h_2E0)) = c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RM__fupd_2E1(A_27a,c_2Ecombin_2Eo_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V19f_2E0,V18g_2E0)),V17h_2E0) )
      & ! [V20g_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)),V21f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V21f_2E0),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V20g_2E0)) = c_2Ering_2Ering__RN__fupd_2E1(A_27a,c_2Ecombin_2Eo_2E2(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),V21f_2E0,V20g_2E0)) )
      & ! [V22h_2E0: tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a)),V23g_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a)),V24f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V24f_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V23g_2E0),V22h_2E0)) = c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RN__fupd_2E1(A_27a,c_2Ecombin_2Eo_2E2(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),V24f_2E0,V23g_2E0)),V22h_2E0) ) ) ).

tff(thm_2Ering_2Ering__fupdcanon,axiom,
    ! [A_27a: $tType] :
      ( ! [V0r_2E0: tyop_2Ering_2Ering(A_27a),V1g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V2f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__R1__fupd_2E2(A_27a,V2f_2E0,c_2Ering_2Ering__R0__fupd_2E2(A_27a,V1g_2E0,V0r_2E0)) = c_2Ering_2Ering__R0__fupd_2E2(A_27a,V1g_2E0,c_2Ering_2Ering__R1__fupd_2E2(A_27a,V2f_2E0,V0r_2E0)) )
      & ! [V3r_2E0: tyop_2Ering_2Ering(A_27a),V4g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V5f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ering_2Ering__RP__fupd_2E2(A_27a,V5f_2E0,c_2Ering_2Ering__R0__fupd_2E2(A_27a,V4g_2E0,V3r_2E0)) = c_2Ering_2Ering__R0__fupd_2E2(A_27a,V4g_2E0,c_2Ering_2Ering__RP__fupd_2E2(A_27a,V5f_2E0,V3r_2E0)) )
      & ! [V6r_2E0: tyop_2Ering_2Ering(A_27a),V7g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V8f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ering_2Ering__RP__fupd_2E2(A_27a,V8f_2E0,c_2Ering_2Ering__R1__fupd_2E2(A_27a,V7g_2E0,V6r_2E0)) = c_2Ering_2Ering__R1__fupd_2E2(A_27a,V7g_2E0,c_2Ering_2Ering__RP__fupd_2E2(A_27a,V8f_2E0,V6r_2E0)) )
      & ! [V9r_2E0: tyop_2Ering_2Ering(A_27a),V10g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V11f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ering_2Ering__RM__fupd_2E2(A_27a,V11f_2E0,c_2Ering_2Ering__R0__fupd_2E2(A_27a,V10g_2E0,V9r_2E0)) = c_2Ering_2Ering__R0__fupd_2E2(A_27a,V10g_2E0,c_2Ering_2Ering__RM__fupd_2E2(A_27a,V11f_2E0,V9r_2E0)) )
      & ! [V12r_2E0: tyop_2Ering_2Ering(A_27a),V13g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V14f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ering_2Ering__RM__fupd_2E2(A_27a,V14f_2E0,c_2Ering_2Ering__R1__fupd_2E2(A_27a,V13g_2E0,V12r_2E0)) = c_2Ering_2Ering__R1__fupd_2E2(A_27a,V13g_2E0,c_2Ering_2Ering__RM__fupd_2E2(A_27a,V14f_2E0,V12r_2E0)) )
      & ! [V15r_2E0: tyop_2Ering_2Ering(A_27a),V16g_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),V17f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ering_2Ering__RM__fupd_2E2(A_27a,V17f_2E0,c_2Ering_2Ering__RP__fupd_2E2(A_27a,V16g_2E0,V15r_2E0)) = c_2Ering_2Ering__RP__fupd_2E2(A_27a,V16g_2E0,c_2Ering_2Ering__RM__fupd_2E2(A_27a,V17f_2E0,V15r_2E0)) )
      & ! [V18r_2E0: tyop_2Ering_2Ering(A_27a),V19g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V20f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ering_2Ering__RN__fupd_2E2(A_27a,V20f_2E0,c_2Ering_2Ering__R0__fupd_2E2(A_27a,V19g_2E0,V18r_2E0)) = c_2Ering_2Ering__R0__fupd_2E2(A_27a,V19g_2E0,c_2Ering_2Ering__RN__fupd_2E2(A_27a,V20f_2E0,V18r_2E0)) )
      & ! [V21r_2E0: tyop_2Ering_2Ering(A_27a),V22g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V23f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ering_2Ering__RN__fupd_2E2(A_27a,V23f_2E0,c_2Ering_2Ering__R1__fupd_2E2(A_27a,V22g_2E0,V21r_2E0)) = c_2Ering_2Ering__R1__fupd_2E2(A_27a,V22g_2E0,c_2Ering_2Ering__RN__fupd_2E2(A_27a,V23f_2E0,V21r_2E0)) )
      & ! [V24r_2E0: tyop_2Ering_2Ering(A_27a),V25g_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),V26f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ering_2Ering__RN__fupd_2E2(A_27a,V26f_2E0,c_2Ering_2Ering__RP__fupd_2E2(A_27a,V25g_2E0,V24r_2E0)) = c_2Ering_2Ering__RP__fupd_2E2(A_27a,V25g_2E0,c_2Ering_2Ering__RN__fupd_2E2(A_27a,V26f_2E0,V24r_2E0)) )
      & ! [V27r_2E0: tyop_2Ering_2Ering(A_27a),V28g_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),V29f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ering_2Ering__RN__fupd_2E2(A_27a,V29f_2E0,c_2Ering_2Ering__RM__fupd_2E2(A_27a,V28g_2E0,V27r_2E0)) = c_2Ering_2Ering__RM__fupd_2E2(A_27a,V28g_2E0,c_2Ering_2Ering__RN__fupd_2E2(A_27a,V29f_2E0,V27r_2E0)) ) ) ).

tff(thm_2Ering_2Ering__fupdcanon__comp,axiom,
    ! [A_27a: $tType,A_27b: $tType] :
      ( ! [V0g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V1f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V1f_2E0),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V0g_2E0)) = c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V0g_2E0),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V1f_2E0)) )
      & ! [V2h_2E0: tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a)),V3g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V4f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V4f_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V3g_2E0),V2h_2E0)) = c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V3g_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V4f_2E0),V2h_2E0)) )
      & ! [V5g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V6f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V6f_2E0),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V5g_2E0)) = c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V5g_2E0),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V6f_2E0)) )
      & ! [V7h_2E0: tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a)),V8g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V9f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V9f_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V8g_2E0),V7h_2E0)) = c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V8g_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V9f_2E0),V7h_2E0)) )
      & ! [V10g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V11f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V11f_2E0),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V10g_2E0)) = c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V10g_2E0),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V11f_2E0)) )
      & ! [V12h_2E0: tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a)),V13g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V14f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V14f_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V13g_2E0),V12h_2E0)) = c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V13g_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V14f_2E0),V12h_2E0)) )
      & ! [V15g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V16f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V16f_2E0),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V15g_2E0)) = c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V15g_2E0),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V16f_2E0)) )
      & ! [V17h_2E0: tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a)),V18g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V19f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V19f_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V18g_2E0),V17h_2E0)) = c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V18g_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V19f_2E0),V17h_2E0)) )
      & ! [V20g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V21f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V21f_2E0),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V20g_2E0)) = c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V20g_2E0),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V21f_2E0)) )
      & ! [V22h_2E0: tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a)),V23g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V24f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V24f_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V23g_2E0),V22h_2E0)) = c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V23g_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V24f_2E0),V22h_2E0)) )
      & ! [V25g_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),V26f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V26f_2E0),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V25g_2E0)) = c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V25g_2E0),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V26f_2E0)) )
      & ! [V27h_2E0: tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a)),V28g_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),V29f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)))] : ( c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V29f_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V28g_2E0),V27h_2E0)) = c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V28g_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V29f_2E0),V27h_2E0)) )
      & ! [V30g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V31f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V31f_2E0),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V30g_2E0)) = c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V30g_2E0),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V31f_2E0)) )
      & ! [V32h_2E0: tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a)),V33g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V34f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V34f_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V33g_2E0),V32h_2E0)) = c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R0__fupd_2E1(A_27a,V33g_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V34f_2E0),V32h_2E0)) )
      & ! [V35g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V36f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V36f_2E0),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V35g_2E0)) = c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V35g_2E0),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V36f_2E0)) )
      & ! [V37h_2E0: tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a)),V38g_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V39f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V39f_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V38g_2E0),V37h_2E0)) = c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__R1__fupd_2E1(A_27a,V38g_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V39f_2E0),V37h_2E0)) )
      & ! [V40g_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),V41f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V41f_2E0),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V40g_2E0)) = c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V40g_2E0),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V41f_2E0)) )
      & ! [V42h_2E0: tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a)),V43g_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),V44f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V44f_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V43g_2E0),V42h_2E0)) = c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RP__fupd_2E1(A_27a,V43g_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V44f_2E0),V42h_2E0)) )
      & ! [V45g_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),V46f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V46f_2E0),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V45g_2E0)) = c_2Ecombin_2Eo_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V45g_2E0),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V46f_2E0)) )
      & ! [V47h_2E0: tyop_2Emin_2Efun(A_27b,tyop_2Ering_2Ering(A_27a)),V48g_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a))),V49f_2E0: tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a))] : ( c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V49f_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V48g_2E0),V47h_2E0)) = c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RM__fupd_2E1(A_27a,V48g_2E0),c_2Ecombin_2Eo_2E2(A_27b,tyop_2Ering_2Ering(A_27a),tyop_2Ering_2Ering(A_27a),c_2Ering_2Ering__RN__fupd_2E1(A_27a,V49f_2E0),V47h_2E0)) ) ) ).

tff(thm_2Ering_2Ering__component__equality,axiom,
    ! [A_27a: $tType,V0r1_2E0: tyop_2Ering_2Ering(A_27a),V1r2_2E0: tyop_2Ering_2Ering(A_27a)] :
      ( ( V0r1_2E0 = V1r2_2E0 )
    <=> ( ( c_2Ering_2Ering__R0_2E1(A_27a,V0r1_2E0) = c_2Ering_2Ering__R0_2E1(A_27a,V1r2_2E0) )
        & ( c_2Ering_2Ering__R1_2E1(A_27a,V0r1_2E0) = c_2Ering_2Ering__R1_2E1(A_27a,V1r2_2E0) )
        & ( c_2Ering_2Ering__RP_2E1(A_27a,V0r1_2E0) = c_2Ering_2Ering__RP_2E1(A_27a,V1r2_2E0) )
        & ( c_2Ering_2Ering__RM_2E1(A_27a,V0r1_2E0) = c_2Ering_2Ering__RM_2E1(A_27a,V1r2_2E0) )
        & ( c_2Ering_2Ering__RN_2E1(A_27a,V0r1_2E0) = c_2Ering_2Ering__RN_2E1(A_27a,V1r2_2E0) ) ) ) ).

tff(thm_2Ering_2Ering__updates__eq__literal,axiom,
    ! [A_27a: $tType,V0r_2E0: tyop_2Ering_2Ering(A_27a),V1a0_2E0: A_27a,V2a_2E0: A_27a,V3f1_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V5f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( c_2Ering_2Ering__R0__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(A_27a,A_27a,V1a0_2E0),c_2Ering_2Ering__R1__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(A_27a,A_27a,V2a_2E0),c_2Ering_2Ering__RP__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V3f1_2E0),c_2Ering_2Ering__RM__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f0_2E0),c_2Ering_2Ering__RN__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),V5f_2E0),V0r_2E0))))) = c_2Ering_2Ering__R0__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(A_27a,A_27a,V1a0_2E0),c_2Ering_2Ering__R1__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(A_27a,A_27a,V2a_2E0),c_2Ering_2Ering__RP__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V3f1_2E0),c_2Ering_2Ering__RM__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f0_2E0),c_2Ering_2Ering__RN__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),V5f_2E0),c_2Ebool_2EARB_2E0(tyop_2Ering_2Ering(A_27a))))))) ) ).

tff(thm_2Ering_2Ering__literal__nchotomy,axiom,
    ! [A_27a: $tType,V0r_2E0: tyop_2Ering_2Ering(A_27a)] :
    ? [V1a0_2E0: A_27a,V2a_2E0: A_27a,V3f1_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V5f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( V0r_2E0 = c_2Ering_2Ering__R0__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(A_27a,A_27a,V1a0_2E0),c_2Ering_2Ering__R1__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(A_27a,A_27a,V2a_2E0),c_2Ering_2Ering__RP__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V3f1_2E0),c_2Ering_2Ering__RM__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f0_2E0),c_2Ering_2Ering__RN__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),V5f_2E0),c_2Ebool_2EARB_2E0(tyop_2Ering_2Ering(A_27a))))))) ) ).

tff(thm_2Ering_2EFORALL__ring,axiom,
    ! [A_27a: $tType,V0P_2E0: tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Ebool)] :
      ( ! [V1r_2E0: tyop_2Ering_2Ering(A_27a)] : p(app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Ebool,V0P_2E0,V1r_2E0))
    <=> ! [V2a0_2E0: A_27a,V3a_2E0: A_27a,V4f1_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V5f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V6f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : p(app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Ebool,V0P_2E0,c_2Ering_2Ering__R0__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(A_27a,A_27a,V2a0_2E0),c_2Ering_2Ering__R1__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(A_27a,A_27a,V3a_2E0),c_2Ering_2Ering__RP__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f1_2E0),c_2Ering_2Ering__RM__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V5f0_2E0),c_2Ering_2Ering__RN__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),V6f_2E0),c_2Ebool_2EARB_2E0(tyop_2Ering_2Ering(A_27a))))))))) ) ).

tff(thm_2Ering_2EEXISTS__ring,axiom,
    ! [A_27a: $tType,V0P_2E0: tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Ebool)] :
      ( ? [V1r_2E0: tyop_2Ering_2Ering(A_27a)] : p(app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Ebool,V0P_2E0,V1r_2E0))
    <=> ? [V2a0_2E0: A_27a,V3a_2E0: A_27a,V4f1_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V5f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V6f_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : p(app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Ebool,V0P_2E0,c_2Ering_2Ering__R0__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(A_27a,A_27a,V2a0_2E0),c_2Ering_2Ering__R1__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(A_27a,A_27a,V3a_2E0),c_2Ering_2Ering__RP__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f1_2E0),c_2Ering_2Ering__RM__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V5f0_2E0),c_2Ering_2Ering__RN__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),V6f_2E0),c_2Ebool_2EARB_2E0(tyop_2Ering_2Ering(A_27a))))))))) ) ).

tff(thm_2Ering_2Ering__literal__11,axiom,
    ! [A_27a: $tType,V0a01_2E0: A_27a,V1a1_2E0: A_27a,V2f11_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V3f01_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V5a02_2E0: A_27a,V6a2_2E0: A_27a,V7f12_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V8f02_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V9f2_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] :
      ( ( c_2Ering_2Ering__R0__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(A_27a,A_27a,V0a01_2E0),c_2Ering_2Ering__R1__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(A_27a,A_27a,V1a1_2E0),c_2Ering_2Ering__RP__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V2f11_2E0),c_2Ering_2Ering__RM__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V3f01_2E0),c_2Ering_2Ering__RN__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),V4f1_2E0),c_2Ebool_2EARB_2E0(tyop_2Ering_2Ering(A_27a))))))) = c_2Ering_2Ering__R0__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(A_27a,A_27a,V5a02_2E0),c_2Ering_2Ering__R1__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(A_27a,A_27a,V6a2_2E0),c_2Ering_2Ering__RP__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V7f12_2E0),c_2Ering_2Ering__RM__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V8f02_2E0),c_2Ering_2Ering__RN__fupd_2E2(A_27a,c_2Ecombin_2EK_2E1(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Efun(A_27a,A_27a),V9f2_2E0),c_2Ebool_2EARB_2E0(tyop_2Ering_2Ering(A_27a))))))) )
    <=> ( ( V0a01_2E0 = V5a02_2E0 )
        & ( V1a1_2E0 = V6a2_2E0 )
        & ( V2f11_2E0 = V7f12_2E0 )
        & ( V3f01_2E0 = V8f02_2E0 )
        & ( V4f1_2E0 = V9f2_2E0 ) ) ) ).

tff(thm_2Ering_2Edatatype__ring,axiom,
    ! [A_27a: $tType,V0ring_2E0: tyop_2Ering_2Ering(A_27a),V1record_2E0: tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Ebool)))))),V2RP_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V3RN_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V4RM_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V5R1_2E0: A_27a,V6R0_2E0: A_27a] : p(c_2Ebool_2EDATATYPE_2E1(tyop_2Emin_2Ebool,app_2E2(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Ebool,app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Ebool),app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Ebool)),app_2E2(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Ebool))),app_2E2(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Ebool)))),app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),tyop_2Emin_2Ebool))))),V1record_2E0,V0ring_2E0),V6R0_2E0),V5R1_2E0),V2RP_2E0),V4RM_2E0),V3RN_2E0))) ).

tff(thm_2Ering_2Ering__11,axiom,
    ! [A_27a: $tType,V0a0_2E0: A_27a,V1a1_2E0: A_27a,V2a2_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V3a3_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4a4_2E0: tyop_2Emin_2Efun(A_27a,A_27a),V5a0_27_2E0: A_27a,V6a1_27_2E0: A_27a,V7a2_27_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V8a3_27_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V9a4_27_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] :
      ( ( c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V0a0_2E0,V1a1_2E0,V2a2_2E0,V3a3_2E0,V4a4_2E0) = c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V5a0_27_2E0,V6a1_27_2E0,V7a2_27_2E0,V8a3_27_2E0,V9a4_27_2E0) )
    <=> ( ( V0a0_2E0 = V5a0_27_2E0 )
        & ( V1a1_2E0 = V6a1_27_2E0 )
        & ( V2a2_2E0 = V7a2_27_2E0 )
        & ( V3a3_2E0 = V8a3_27_2E0 )
        & ( V4a4_2E0 = V9a4_27_2E0 ) ) ) ).

tff(thm_2Ering_2Ering__nchotomy,axiom,
    ! [A_27a: $tType,V0rr_2E0: tyop_2Ering_2Ering(A_27a)] :
    ? [V1a_2E0: A_27a,V2a0_2E0: A_27a,V3f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V5f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( V0rr_2E0 = c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V1a_2E0,V2a0_2E0,V3f_2E0,V4f0_2E0,V5f1_2E0) ) ).

tff(thm_2Ering_2Ering__Axiom,axiom,
    ! [A_27a: $tType,A_27b: $tType,V0f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b)))))] :
    ? [V1fn_2E0: tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),A_27b)] :
    ! [V2a0_2E0: A_27a,V3a1_2E0: A_27a,V4a2_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V5a3_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V6a4_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : ( app_2E2(tyop_2Ering_2Ering(A_27a),A_27b,V1fn_2E0,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V2a0_2E0,V3a1_2E0,V4a2_2E0,V5a3_2E0,V6a4_2E0)) = app_2E2(tyop_2Emin_2Efun(A_27a,A_27a),A_27b,app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b),app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b)),app_2E2(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b))),app_2E2(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b)))),V0f_2E0,V2a0_2E0),V3a1_2E0),V4a2_2E0),V5a3_2E0),V6a4_2E0) ) ).

tff(thm_2Ering_2Ering__induction,axiom,
    ! [A_27a: $tType,V0P_2E0: tyop_2Emin_2Efun(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Ebool)] :
      ( ! [V1a_2E0: A_27a,V2a0_2E0: A_27a,V3f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V4f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V5f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] : p(app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Ebool,V0P_2E0,c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V1a_2E0,V2a0_2E0,V3f_2E0,V4f0_2E0,V5f1_2E0)))
     => ! [V6r_2E0: tyop_2Ering_2Ering(A_27a)] : p(app_2E2(tyop_2Ering_2Ering(A_27a),tyop_2Emin_2Ebool,V0P_2E0,V6r_2E0)) ) ).

tff(thm_2Ering_2Ering__case__cong,axiom,
    ! [A_27a: $tType,A_27b: $tType,V0f_27_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b))))),V1M_2E0: tyop_2Ering_2Ering(A_27a),V2M_27_2E0: tyop_2Ering_2Ering(A_27a),V3f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b)))))] :
      ( ( ( V1M_2E0 = V2M_27_2E0 )
        & ! [V4a0_2E0: A_27a,V5a1_2E0: A_27a,V6a2_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V7a3_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V8a4_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] :
            ( ( V2M_27_2E0 = c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V4a0_2E0,V5a1_2E0,V6a2_2E0,V7a3_2E0,V8a4_2E0) )
           => ( app_2E2(tyop_2Emin_2Efun(A_27a,A_27a),A_27b,app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b),app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b)),app_2E2(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b))),app_2E2(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b)))),V3f_2E0,V4a0_2E0),V5a1_2E0),V6a2_2E0),V7a3_2E0),V8a4_2E0) = app_2E2(tyop_2Emin_2Efun(A_27a,A_27a),A_27b,app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b),app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b)),app_2E2(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b))),app_2E2(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b)))),V0f_27_2E0,V4a0_2E0),V5a1_2E0),V6a2_2E0),V7a3_2E0),V8a4_2E0) ) ) )
     => ( c_2Ering_2Ering__CASE_2E2(A_27a,A_27b,V1M_2E0,V3f_2E0) = c_2Ering_2Ering__CASE_2E2(A_27a,A_27b,V2M_27_2E0,V0f_27_2E0) ) ) ).

tff(thm_2Ering_2Ering__case__eq,axiom,
    ! [A_27a: $tType,A_27b: $tType,V0x_2E0: tyop_2Ering_2Ering(A_27a),V1v_2E0: A_27b,V2f_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b)))))] :
      ( ( c_2Ering_2Ering__CASE_2E2(A_27a,A_27b,V0x_2E0,V2f_2E0) = V1v_2E0 )
    <=> ? [V3a_2E0: A_27a,V4a0_2E0: A_27a,V5f_27_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V6f0_2E0: tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),V7f1_2E0: tyop_2Emin_2Efun(A_27a,A_27a)] :
          ( ( V0x_2E0 = c_2Ering_2Erecordtype_2Ering_2E5(A_27a,V3a_2E0,V4a0_2E0,V5f_27_2E0,V6f0_2E0,V7f1_2E0) )
          & ( app_2E2(tyop_2Emin_2Efun(A_27a,A_27a),A_27b,app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b),app_2E2(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b)),app_2E2(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b))),app_2E2(A_27a,tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,tyop_2Emin_2Efun(A_27a,A_27a)),tyop_2Emin_2Efun(tyop_2Emin_2Efun(A_27a,A_27a),A_27b)))),V2f_2E0,V3a_2E0),V4a0_2E0),V5f_27_2E0),V6f0_2E0),V7f1_2E0) = V1v_2E0 ) ) ) ).

tff(thm_2Ering_2Eplus__sym,axiom,
    ! [A_27a: $tType,V0r_2E0: tyop_2Ering_2Ering(A_27a)] :
      ( p(c_2Ering_2Eis__ring_2E1(A_27a,V0r_2E0))
     => ! [V1n_2E0: A_27a,V2m_2E0: A_27a] : ( c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,V1n_2E0,V2m_2E0) = c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,V2m_2E0,V1n_2E0) ) ) ).

tff(thm_2Ering_2Eplus__assoc,axiom,
    ! [A_27a: $tType,V0r_2E0: tyop_2Ering_2Ering(A_27a)] :
      ( p(c_2Ering_2Eis__ring_2E1(A_27a,V0r_2E0))
     => ! [V1n_2E0: A_27a,V2m_2E0: A_27a,V3p_2E0: A_27a] : ( c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,V1n_2E0,c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,V2m_2E0,V3p_2E0)) = c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,V1n_2E0,V2m_2E0),V3p_2E0) ) ) ).

tff(thm_2Ering_2Emult__sym,axiom,
    ! [A_27a: $tType,V0r_2E0: tyop_2Ering_2Ering(A_27a)] :
      ( p(c_2Ering_2Eis__ring_2E1(A_27a,V0r_2E0))
     => ! [V1n_2E0: A_27a,V2m_2E0: A_27a] : ( c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,V1n_2E0,V2m_2E0) = c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,V2m_2E0,V1n_2E0) ) ) ).

tff(thm_2Ering_2Emult__assoc,axiom,
    ! [A_27a: $tType,V0r_2E0: tyop_2Ering_2Ering(A_27a)] :
      ( p(c_2Ering_2Eis__ring_2E1(A_27a,V0r_2E0))
     => ! [V1n_2E0: A_27a,V2m_2E0: A_27a,V3p_2E0: A_27a] : ( c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,V1n_2E0,c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,V2m_2E0,V3p_2E0)) = c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,V1n_2E0,V2m_2E0),V3p_2E0) ) ) ).

tff(thm_2Ering_2Eplus__zero__left,axiom,
    ! [A_27a: $tType,V0r_2E0: tyop_2Ering_2Ering(A_27a)] :
      ( p(c_2Ering_2Eis__ring_2E1(A_27a,V0r_2E0))
     => ! [V1n_2E0: A_27a] : ( c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,c_2Ering_2Ering__R0_2E1(A_27a,V0r_2E0),V1n_2E0) = V1n_2E0 ) ) ).

tff(thm_2Ering_2Emult__one__left,axiom,
    ! [A_27a: $tType,V0r_2E0: tyop_2Ering_2Ering(A_27a)] :
      ( p(c_2Ering_2Eis__ring_2E1(A_27a,V0r_2E0))
     => ! [V1n_2E0: A_27a] : ( c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,c_2Ering_2Ering__R1_2E1(A_27a,V0r_2E0),V1n_2E0) = V1n_2E0 ) ) ).

tff(thm_2Ering_2Eopp__def,axiom,
    ! [A_27a: $tType,V0r_2E0: tyop_2Ering_2Ering(A_27a)] :
      ( p(c_2Ering_2Eis__ring_2E1(A_27a,V0r_2E0))
     => ! [V1n_2E0: A_27a] : ( c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,V1n_2E0,c_2Ering_2Ering__RN_2E2(A_27a,V0r_2E0,V1n_2E0)) = c_2Ering_2Ering__R0_2E1(A_27a,V0r_2E0) ) ) ).

tff(thm_2Ering_2Edistr__left,axiom,
    ! [A_27a: $tType,V0r_2E0: tyop_2Ering_2Ering(A_27a)] :
      ( p(c_2Ering_2Eis__ring_2E1(A_27a,V0r_2E0))
     => ! [V1n_2E0: A_27a,V2m_2E0: A_27a,V3p_2E0: A_27a] : ( c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,V1n_2E0,V2m_2E0),V3p_2E0) = c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,V1n_2E0,V3p_2E0),c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,V2m_2E0,V3p_2E0)) ) ) ).

tff(thm_2Ering_2Eplus__zero__right,axiom,
    ! [A_27a: $tType,V0r_2E0: tyop_2Ering_2Ering(A_27a)] :
      ( p(c_2Ering_2Eis__ring_2E1(A_27a,V0r_2E0))
     => ! [V1n_2E0: A_27a] : ( c_2Ering_2Ering__RP_2E3(A_27a,V0r_2E0,V1n_2E0,c_2Ering_2Ering__R0_2E1(A_27a,V0r_2E0)) = V1n_2E0 ) ) ).

tff(thm_2Ering_2Emult__zero__left,axiom,
    ! [A_27a: $tType,V0r_2E0: tyop_2Ering_2Ering(A_27a)] :
      ( p(c_2Ering_2Eis__ring_2E1(A_27a,V0r_2E0))
     => ! [V1n_2E0: A_27a] : ( c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,c_2Ering_2Ering__R0_2E1(A_27a,V0r_2E0),V1n_2E0) = c_2Ering_2Ering__R0_2E1(A_27a,V0r_2E0) ) ) ).

tff(thm_2Ering_2Emult__zero__right,axiom,
    ! [A_27a: $tType,V0r_2E0: tyop_2Ering_2Ering(A_27a)] :
      ( p(c_2Ering_2Eis__ring_2E1(A_27a,V0r_2E0))
     => ! [V1n_2E0: A_27a] : ( c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,V1n_2E0,c_2Ering_2Ering__R0_2E1(A_27a,V0r_2E0)) = c_2Ering_2Ering__R0_2E1(A_27a,V0r_2E0) ) ) ).

tff(thm_2Ering_2Ering__is__semi__ring,axiom,
    ! [A_27a: $tType,V0r_2E0: tyop_2Ering_2Ering(A_27a)] :
      ( p(c_2Ering_2Eis__ring_2E1(A_27a,V0r_2E0))
     => p(c_2Esemi__ring_2Eis__semi__ring_2E1(A_27a,c_2Ering_2Esemi__ring__of_2E1(A_27a,V0r_2E0))) ) ).

tff(thm_2Ering_2Emult__one__right,axiom,
    ! [A_27a: $tType,V0r_2E0: tyop_2Ering_2Ering(A_27a)] :
      ( p(c_2Ering_2Eis__ring_2E1(A_27a,V0r_2E0))
     => ! [V1n_2E0: A_27a] : ( c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,V1n_2E0,c_2Ering_2Ering__R1_2E1(A_27a,V0r_2E0)) = V1n_2E0 ) ) ).

tff(thm_2Ering_2Eneg__mult,axiom,
    ! [A_27a: $tType,V0r_2E0: tyop_2Ering_2Ering(A_27a)] :
      ( p(c_2Ering_2Eis__ring_2E1(A_27a,V0r_2E0))
     => ! [V1a_2E0: A_27a,V2b_2E0: A_27a] : ( c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,c_2Ering_2Ering__RN_2E2(A_27a,V0r_2E0,V1a_2E0),V2b_2E0) = c_2Ering_2Ering__RN_2E2(A_27a,V0r_2E0,c_2Ering_2Ering__RM_2E3(A_27a,V0r_2E0,V1a_2E0,V2b_2E0)) ) ) ).

%------------------------------------------------------------------------------